Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材 pdf epub mobi txt 电子书 下载 2024

图书介绍


Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材

简体网页||繁体网页
罗杰,谭力,刘文超 等 编



点击这里下载
    


想要找书就要到 静流书站
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

发表于2024-04-25

类似图书 点击查看全场最低价

出版社: 机械工业出版社
ISBN:9787111489511
版次:1
商品编码:11679782
品牌:机工出版
包装:平装
丛书名: 高等院校电子信息与电气学科系列规划教材
开本:16开
出版时间:2015-04-01
用纸:胶版纸
页数:385
正文语种:中文

Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材 epub 下载 mobi 下载 pdf 下载 txt 电子书 下载 2024

相关图书



Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材 epub 下载 mobi 下载 pdf 下载 txt 电子书 下载 2024

Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材 pdf epub mobi txt 电子书 下载



具体描述

编辑推荐

  

华中科技大学全国大学生电子设计竞赛培训指定教材。
  将数字电路和Verilog HDL相互结合,用FPGA实现电路,实践性更强。
  配合在大学中广泛使用的FPGA平台,课程资源和实例丰富,可操作性极强。

内容简介

  《Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材》是根据电子技术的发展和我国高等教育发展的新形势,以及作者多年教学与实践经验的基础上而编写的。内容覆盖了数字逻辑设计基础、VerilogHDL基础知识与建模方法、有限状态机设计、可编程逻辑器件及其开发工具、数字电路与系统设计实例、数字电路动态仿真与静态时序分析等相关知识。《Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材》将数字逻辑设计和VerilogHDL有机地结合在一起,方便读者快速地掌握数字逻辑的基础知识和VerilogHDL建模方法,熟悉用EDA方法设计数字系统的技巧。《Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材》不仅注重基础知识的介绍,而且力求向读者系统地讲解VerilogHDL在数字系统设计方面的实际应用。《Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材》以QuartusⅡ9.1为软件平台,所有程序都通过了DE2开发板的硬件测试,读者可参考使用。《Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材》可用作高等院校电气信息类等专业本、专科生的教材或教学参考书,也可以作为电子技术课程设计、电子设计大赛或数字系统设计工程技术人员学习EDA技术的参考书。

内页插图

目录

前言
教学建议
第一篇 数字系统基础
第1章 数字逻辑设计基础
1.1 数字电路的发展历史及分类
1.2 逻辑运算及逻辑门
1.2.1 基本逻辑运算及对应的逻辑门
1.2.2 常用复合逻辑运算及对应的逻辑门
1.2.3 集成逻辑门电路简介
1.2.4 三态门
1.3 逻辑代数的基本公式和规则
1.3.1 逻辑代数的基本公式
1.3.2 逻辑代数的基本规则
1.3.3 基本公式的应用
1.4 逻辑函数的代数化简法
1.5 逻辑函数的卡诺图化简法
1.5.1 最小项的定义和性质
1.5.2 逻辑函数的最小项表达式
1.5.3 用卡诺图表示逻辑函数
1.5.4 用卡诺图化简逻辑函数
1.5.5 用卡诺图化简含无关项的逻辑函数
1.6 组合逻辑电路设计
1.6.1 组合逻辑电路设计的一般步骤
1.6.2 组合逻辑电路设计举例
小结
习题
第2章 Verilog HDL入门与功能仿真
2.1 硬件描述语言简介
2.1.1 硬件描述语言的起源
2.1.2 硬件描述语言的特点
2.2 Verilog HDL程序的基本结构
2.2.1 Verilog HDL程序的基本概述
2.2.2 简单Verilog HDL程序实例
2.3 逻辑功能的仿真验证过程
2.3.1 激励块
2.3.2 仿真过程简介
2.4 ModelSim仿真软件的使用
2.4.1 创建工作目录
2.4.2 输入源文件
2.4.3 建立工作库
2.4.4 编译设计文件
2.4.5 将设计文件载入仿真器
2.4.6 运行仿真器
2.5 Verilog HDL功能仿真常用命令
2.5.1 系统任务
2.5.2 编译器指令
小结
习题
第3章 Verilog HDL基础语法与组合逻辑电路建模
3.1 Verilog HDL基本语法规则
3.1.1 词法规定
3.1.2 逻辑值集合
3.1.3 常量及其表示
3.1.4 数据类型
3.2 Verilog HDL门级建模
3.2.1 多输入门
3.2.2 多输出门
3.2.3 三态门
3.2.4 门级建模举例
3.3 Verilog HDL数据流建模与运算符
3.3.1 数据流建模
3.3.2 表达式与操作数
3.3.3 运算符
3.3.4 运算符的优先级别
3.4 组合电路的行为级建模
3.5 分层次的电路设计方法
3.5.1 设计方法
3.5.2 模块实例引用语句
3.6 常用组合电路及其设计
3.6.1 编码器
3.6.2 二进制译码器
3.6.3 七段显示译码器
3.6.4 二进制数与8421 BCD码的转换
小结
习题
第4章 时序逻辑电路建模
4.1 锁存器
4.1.1 基本SR锁存器
4.1.2 门控D锁存器
4.1.3 门控D锁存器的VerilogHDL建模
4.2 时序电路建模基础
4.2.1 阻塞型赋值语句和非阻塞型赋值语句
4.2.2 事件控制语句
4.3 触发器
4.3.1 D触发器的逻辑功能
4.3.2 有清零输入和预置输入的D触发器
4.3.3 有使能端的D触发器
4.3.4 D触发器及其应用电路的Verilog HDL建模
4.4 寄存器和移位寄存器
4.4.1 寄存器及Verilog HDL建模
4.4.2 移位寄存器及Verilog HDL建模
4.4.3 移位寄存器的应用电路
4.5 同步计数器
4.5.1 同步计数器的设计
4.5.2 同步计数器的Verilog HDL建模
4.6 Verilog HDL函数与任务的使用
4.6.1 函数说明语句
4.6.2 任务说明语句
4.7 m序列码产生电路设计
小结
习题
第5章 有限状态机设计
5.1 状态机的基本概念
5.1.1 状态机的基本结构及类型
5.1.2 状态机的状态图表示法
5.1.3 状态机的设计步骤
5.2 基于Verilog HDL的状态机描述方法
5.2.1 状态图的建立过程
5.2.2 状态图的描述方法
5.3 状态机设计中的关键技术
5.3.1 状态编码
5.3.2 消除输出端产生的毛刺
5.3.3 使用One�睭ot编码方案设计状态机
5.4 状态机设计举例
5.4.1 汽车尾灯控制电路设计
5.4.2 十字路口交通灯控制
电路设计
小结
习题
第6章 可编程逻辑器件
6.1 概述
6.1.1 PLD的历史
6.1.2 PLD开发流程简介
6.1.3 PLD器件的符号
6.2 简单可编程逻辑器件
6.2.1 PLA
6.2.2 PAL
6.3 复杂可编程逻辑器件
6.3.1 CPLD的基本结构
6.3.2 逻辑块
6.3.3 IO块
6.3.4 可编程内部互连线资源
6.4 现场可编程门阵列
6.4.1 FPGA实现逻辑函数的基本原理
6.4.2 FPGA的一般结构
6.4.3 基于LUT的逻辑块
6.4.4 可编程布线资源
6.4.5 IO块
小结
习题

第二篇 数字系统设计实践
第7章FPGA开发工具的使用
7.1 Quartus II软件介绍
7.1.1 Quartus II 9.1 软件主界面
7.1.2 Quartus II的设计流程
7.1.3 USB�睟laster 驱动安装
7.2 基于原理图的电路仿真
7.2.1 建立新的设计项目
7.2.2 输入电路原理图
7.2.3 编译设计项目
7.2.4 仿真验证设计项目
7.2.5 分析信号的延迟特性
7.2.6 实验任务
7.3 基于Verilog HDL的电路设计与实现
7.3.1 半加器的设计与Verilog HDL建模举例
7.3.2 输入设计文件
7.3.3 建立新的设计项目
7.3.4 编译设计文件
7.3.5 仿真验证设计项目
7.3.6 分配引脚
7.3.7 对目标器件编程与硬件电路测试
7.3.8 使用电路网表观察器查看电路图
7.3.9 实验任务
7.4 基于原理图和Verilog HDL的层次化设计
7.4.1 编码、译码、显示电路
7.4.2 建立新的设计项目
7.4.3 输入HDL底层文件并完善原理图
7.4.4 分配引脚并编译设计
7.4.5 仿真验证设计项目
7.4.6 对目标器件编程与硬件电路测试
7.4.7 实验任务
7.5 嵌入式逻辑分析仪SignalTap II的使用
7.5.1 SignalTap II的实现原理与使用流程
7.5.2 SignalTap II的基本使用方法
7.5.3 实验任务
7.6 宏功能模块的调用
7.6.1 计数器模块LPM_COUNTER的配置与调用
7.6.2 嵌入式锁相环模块ALTPLL的配置与调用
7.6.3 先进先出模块FIFO的配置与调用
7.6.4 存储器模块LPM_ROM的配置与调用
7.6.5 实验任务
7.7 在Quartus II中调用ModelSim进行仿真
7.7.1 乘法器模块LPM_MULT的配置与调用
7.7.2 仿真流程
7.7.3 实验任务
小结
第8章 数字电路与系统的设计实践
8.1 变模计数器设计
8.1.1 功能要求
8.1.2 设计分析
8.1.3 逻辑设计
8.1.4 设计实现
8.1.5 实验任务
8.2 移动显示字符的设计
8.2.1 功能要求
8.2.2 设计分析
8.2.3 逻辑设计
8.2.4 设计实现
8.2.5 实验任务
8.3 分频器设计
8.3.1 功能要求
8.3.2 设计分析
8.3.3 逻辑设计
8.3.4 设计仿真
8.3.5 实际运行结果
8.3.6 实验任务
8.4 篮球竞赛30秒定时器设计
8.4.1 功能要求
8.4.2 设计分析
8.4.3 逻辑设计
8.4.4 设计实现
8.4.5 实验任务
8.5 多功能数字钟设计
8.5.1 功能要求
8.5.2 设计分析
8.5.3 数字钟主体电路逻辑设计
8.5.4 功能扩展电路逻辑设计
8.5.5 顶层电路设计
8.5.6 实验任务
8.6 频率计设计
8.6.1 功能要求
8.6.2 设计分析
8.6.3 逻辑设计
8.6.4 顶层电路设计
8.6.5 实验任务
8.7 DDS函数信号发生器的设计
8.7.1 功能要求
8.7.2 DDS产生波形的原理
8.7.3 设计分析
8.7.4 顶层电路设计
8.7.5 设计实现
8.7.6 DA转换电路及放大电路设计
8.7.7 实验任务
8.8 有限状态机实验
8.8.1 功能要求
8.8.2 设计分析
8.8.3 逻辑设计
8.8.4 设计实现
8.8.5 实验任务
小结
第9章 静态时序分析工具TimeQuest的使用
9.1 静态时序分析基础
9.1.1 同步路径的分析
9.1.2 异步路径的分析
9.1.3 外部同步路径的分析
9.1.4 不同的时序模型
9.2 TimeQuest时序分析器的使用
9.2.1 TimeQuest的使用流程
9.2.2 两级流水线乘法器设计
9.2.3 设定时序要求
9.2.4 全编译并完成布局布线
9.2.5 验证时序
小结
第10章 异步串口通信及UART实现
10.1 UART接口实现原理
10.1.1 串行通信的概念
10.1.2 基本的UART通信协议
10.2 UART接口模块的层次化设计
10.2.1 UART接口的功能模块划分
10.2.2 配置文件
10.2.3 顶层模块的功能描述
10.2.4 接收模块的功能描述
10.2.5 发送模块的功能描述
10.2.6 波特率变换模块的功能描述
10.2.7 微处理器接口模块的功能描述
10.3 对UART接口模块的功能仿真
10.3.1 对接收模块的功能仿真
10.3.2 对发送模块的功能仿真
10.3.3 对波特率变换模块的功能仿真
10.3.4 对微处理器接口模块的功能仿真
10.3.5 对UART接口模块的功能仿真
10.4 逻辑综合与时序仿真
10.5 下载与验证测试
10.5.1 验证系统概述
10.5.2 验证结果
小结
第11章 VGA接口控制器的设计
11.1 VGA接口标准和接口电路
11.1.1 VGA接口标准
11.1.2 VGA接口电路
11.2 VGA彩条信号发生器
11.2.1 功能要求
11.2.2 设计分析
11.2.3 逻辑设计
11.2.4 顶层电路设计
11.2.5 对目标器件编程与硬件电路测试
11.2.6 使用Signal Tap II观察VGA工作时序
11.2.7 实验任务
11.3 24位位图显示
11.3.1 功能要求
11.3.2 设计分析
11.3.3 逻辑设计
11.3.4 顶层电路设计
11.3.5 对目标器件编程与硬件电路测试
11.3.6 实验任务
小结

附录A Verilog HDL关键字
附录B 常用FPGA开发板介绍
附录C Cyclone II系列器件结构
参考文献

前言/序言

  随着数字技术的高速发展,人们已经不再采用各种功能固定的通用中、小规模集成电路和电路图输入方法设计数字系统,而是广泛地采用硬件描述语言对数字电路的行为进行建模,并使用电子设计自动化(Electronic Design Automation,EDA)软件自动地对所设计的电路进行优化和仿真,然后使用逻辑综合工具将设计转化成物理实现的网表文件,最后用可编程逻辑器件或者专用集成电路 (Application Specific Integrated Circuit,ASIC)完成数字系统。因此,掌握硬件描述语言、EDA技术和可编程逻辑器件已成为当今数字系统设计者的重要任务。
  目前,符合IEEE标准的硬件描述语言(Hardware Description Language,HDL)有VHDL和Verilog HDL。两者的应用广泛,都能够通过程序描述电路的功能,从而进行数字电路的设计。由于Verilog HDL在ASIC设计领域占有重要的地位,并且它是在C语言的基础上发展起来的,语法较自由,易学易用,因此本书选取Verilog HDL进行电路设计。同时,本书还介绍了ModelSim软件和Quartus II软件的使用方法,读者可以使用它们进行仿真和综合Verilog HDL代码。
  本书是作者根据多年的教学科研经验以及指导学生参加全国电子设计竞赛经验编写而成的。在内容上,将数字逻辑设计和Verilog HDL有机结合在一起,方便读者快速进入现代数字逻辑设计领域。按照“数字逻辑设计基础、Verilog HDL建模技术、可编程逻辑器件的结构原理、EDA设计工具软件、数字电路系统设计实践”的体系结构编写。为了让大家更容易掌握Verilog HDL知识,本书在介绍数字电路设计的过程中列举了Verilog HDL的很多例程,并假定读者没有任何数字逻辑基础知识。
  全书共11章。首先介绍了数字逻辑运算、逻辑门、组合电路设计等基础知识,接着重点介绍了Verilog HDL基础知识与建模方法,对状态机的建模方法进行了深入讨论;然后讨论各种可编程逻辑器件的组成、结构特点和开发流程,以及Quartus II软件的使用方法和静态时序分析方法;最后通过大量的例程介绍Verilog HDL在数字系统设计方面的应用,有助于读者理解书中的基本概念并掌握从简单电路到复杂模块的设计技术。
  本书力求做到通俗易懂,适教适学。为方便读者学习,每章开头均有“本章目的”,介绍该章将要学习的主要内容,每章后面均安排有小结,部分章节后面配有习题。理论学习要和上机实验相结合,从第7章开始通过精选的例程进行引导,读者可以按照这些例程进行实际操作,将HDL代码“写入”FPGA芯片,对设计的电路进行实际测试,以方便读者掌握FPGA开发的整个流程。
  参加本书编写工作的有华中科技大学的罗杰(第1、2、3、4、5章)、张大卫(第6、7章、附录C)、谭力(第8、10章)、王贞炎(第9章)和湖北大学的刘文超(第11章、附录A、B)等,罗杰担任主编,负责全书的策划、组织整理和定稿工作。
  本书在编写过程中,得到了华中科技大学电工电子科技创新基地的大力支持;得到了华中科技大学“教学改革工程”教材建设基金资助;还得到康华光教授的热情支持和鼓励,在此表示衷心的感谢。
  由于作者知识水平有限,书中难免有疏漏、不妥或错误之处,敬请各位专家、同行和读者批评指正。
  编者 2014年11月



Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材 电子书 下载 mobi epub pdf txt

Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材 pdf epub mobi txt 电子书 下载
想要找书就要到 静流书站
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

用户评价

评分

比较不错的一本书

评分

忘了评价了,这本说的包装还不错,主要是内容,对于新手来说还可以,但是有人教的话效果会更好。书是正版,快递很快,第二天就到了。

评分

忘了评价了,这本说的包装还不错,主要是内容,对于新手来说还可以,但是有人教的话效果会更好。书是正版,快递很快,第二天就到了。

评分

感觉书太贵了,不太值!内容一般吧

评分

这本书比较深,但绝对是一本好书

评分

还行

评分

明明选择了纸质发票,就是不发,买过好几次都是这样。联系客服联系不上,沟通渠道不畅通,真心说,服务跟别的比差远了。

评分

书应该不错,朋友写论文用的。

评分

此用户未填写评价内容

类似图书 点击查看全场最低价

Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材 pdf epub mobi txt 电子书 下载


分享链接


去京东购买 去京东购买
去淘宝购买 去淘宝购买
去当当购买 去当当购买
去拼多多购买 去拼多多购买


Verilog HDL与FPGA数字系统设计/高等院校电子信息与电气学科系列规划教材 bar code 下载
扫码下载










相关图书




本站所有内容均为互联网搜索引擎提供的公开搜索信息,本站不存储任何数据与内容,任何内容与数据均与本站无关,如有需要请联系相关搜索引擎包括但不限于百度google,bing,sogou

友情链接

© 2024 windowsfront.com All Rights Reserved. 静流书站 版权所有