EDA技术及应用/高等学校电子信息类专业系列教材 pdf epub mobi txt 电子书 下载 2024

图书介绍


EDA技术及应用/高等学校电子信息类专业系列教材

简体网页||繁体网页
张瑾,李泽光,韩睿 著



点击这里下载
    


想要找书就要到 静流书站
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

发表于2024-05-11

类似图书 点击查看全场最低价

出版社: 清华大学出版社
ISBN:9787302488552
版次:1
商品编码:12322930
包装:平装
开本:16开
出版时间:2018-02-01
用纸:胶版纸
页数:225
字数:356000
正文语种:中文

EDA技术及应用/高等学校电子信息类专业系列教材 epub 下载 mobi 下载 pdf 下载 txt 电子书 下载 2024

相关图书



EDA技术及应用/高等学校电子信息类专业系列教材 epub 下载 mobi 下载 pdf 下载 txt 电子书 下载 2024

EDA技术及应用/高等学校电子信息类专业系列教材 pdf epub mobi txt 电子书 下载



具体描述

编辑推荐

  本书面向工科院校电子、通信、计算机、物联网、自动化等专业本科生,以实现电子系统设计为目标,介绍了电子系统设计中的主流技术——EDA技术。读者通过本书的学习,能够掌握EDA技术的基本知识、基于EDA技术的电子系统设计的流程、开发工具与设计方法。

内容简介

  本书系统地介绍电子系统设计的主流技术——EDA技术。全书共8章,分别为概述、CPLD/FPGA结构与工作原理、VHDL结构与要素、QuartusⅡ应用指南、VHDL基本语句、VHDL设计、EDA技术应用实例、EDA技术设计实验项目。本书的目标是使读者掌握应用EDA技术设计电子系统的方法,形成EDA设计能力。
  本书避免对不常用语法的说明,安排了大量例题、习题以及应用实例,其中每个设计都提供了完整的程序代码,程序均经过仿真验证。第7章介绍了4个综合系统的设计实例,所有设计完成硬件电路并且测试成功。
  本书可作为高等院校计算机类、通信电子类、自动化类以及相关专业的本科或研究生EDA课程教材,也可作为教师以及广大科技工作者的参考用书。

目录

第1章概述
1.1EDA技术及其发展
1.1.1EDA技术的含义
1.1.2EDA技术的优势
1.1.3EDA技术的发展历程
1.2EDA技术四要素
1.2.1软件开发工具
1.2.2硬件描述语言
1.2.3大规模可编程逻辑器件
1.2.4实验开发系统
1.3EDA流程及工具
1.3.1源程序的编辑和输入
1.3.2逻辑综合和优化
1.3.3目标器件的布线/适配
1.3.4目标器件的编程/下载
1.3.5设计过程中的仿真
1.3.6硬件仿真/硬件测试
1.4IP核
1.4.1软核
1.4.2硬核
1.4.3固核
1.5EDA技术应用展望
1.5.1EDA技术应用于科研和新产品的开发
1.5.2EDA技术应用于专用集成电路的开发
习题
第2章CPLD/FPGA结构与工作原理
2.1CPLD
2.1.1CPLD的基本结构
2.1.2CPLD实现逻辑的基本原理
2.2FPGA
2.2.1主要内部资源
2.2.2FPGA的供电机制
2.2.3FPGA的配置
2.2.4器件的标识方法说明
2.3CPLD和FPGA的比较
习题
第3章VHDL结构与要素
3.1VHDL概述
3.1.1一个设计实例
3.1.2设计实例的说明与分析
3.2VHDL结构
3.2.1库、程序包和配置
3.2.2实体
3.2.3结构体
3.3端口模式
3.4数据类型
3.4.1VHDL的预定义数据类型
3.4.2IEEE预定义标准逻辑位与标准逻辑矢量
3.4.3其他预定义标准数据类型
3.4.4自行定义的数据类型
3.5数据对象
3.5.1常量
3.5.2变量
3.5.3信号
3.5.4常量、变量、信号的比较
3.5.5进程中的信号赋值与变量赋值
3.6操作符
3.6.1并置连接操作符
3.6.2逻辑操作符
3.6.3关系操作符
3.6.4算术操作符
3.6.5重载操作符
3.7文字规则
3.7.1基本规则
3.7.2数字型文字
3.7.3字符串型文字
3.7.4标识符
3.7.5下标名及下标段名
3.7.6关键词
习题
第4章QuartusⅡ应用指南
4.1VHDL文本输入设计流程
4.1.1建立工程文件夹和编辑文本
4.1.2创建工程
4.1.3全程编译
4.1.4时序仿真
4.1.5应用网表观察器
4.1.6引脚锁定
4.1.7基于USBBlaster编程下载器的配置文件下载
4.2原理图输入设计方法
4.2.1输入设计项目和存盘
4.2.2将底层设计设置成可调用的元件
4.2.3全加器设计——顶层设计
4.2.4原理图设计中总线的应用
习题
第5章VHDL基本语句
5.1顺序语句
5.1.1顺序赋值语句
5.1.2IF语句
5.1.3CASE语句
5.1.4LOOP语句
5.1.5NEXT语句
5.1.6EXIT语句
5.1.7WAIT语句
5.2并行语句
5.2.1并行信号赋值语句
5.2.2进程语句
5.2.3元件例化语句
5.2.4生成语句
习题
第6章VHDL设计
6.1基于CPLD/FPGA的数字电路设计中的几个问题
6.1.1建立和保持时间
6.1.2竞争和冒险
6.1.3复位与置位
6.1.4关于延时
6.1.5VHDL语言应用技巧
6.2VHDL描述风格
6.2.1结构描述
6.2.2数据流描述
6.2.3行为描述
6.3组合逻辑电路设计
6.3.1门电路
6.3.2译码器
6.3.3全加器
6.3.4数据选择器
6.3.5比较器
6.3.6总线缓冲器
6.4时序逻辑电路设计
6.4.1触发器
6.4.2数码寄存器和移位寄存器
6.4.3计数器
6.4.4m序列发生器
6.5状态机的VHDL设计
6.5.1状态机设计法的优势
6.5.2状态机的形式
6.5.3状态机的基本结构
6.5.4一般状态机的VHDL设计
6.5.5一个状态机的设计实例
6.6LPM定制
6.6.1定制ROM
6.6.2定制PLL
6.6.3定制RAM
习题
第7章EDA技术应用实例
7.1温湿度自动监控系统设计
7.1.1系统设计方案
7.1.2温湿度数据采集的控制——DHT11的驱动
7.1.3BCD十六进制译码器设计
7.1.4液晶显示器的驱动
7.1.5系统时钟信号与液晶使能信号的产生
7.1.6系统顶层设计
7.2电机传动控制模拟系统设计
7.2.1设计方案一
7.2.2设计方案二
7.3自动售货机控制系统设计
7.3.1系统设计要求
7.3.2系统分析
7.3.3秒脉冲的产生
7.3.4自动售货机主控模块设计
7.3.5显示模块设计
7.3.6系统顶层设计
7.4多功能音乐播放器设计
7.4.1系统设计方案
7.4.2分频模块
7.4.3选曲模块设计
7.4.4地址发生器设计
7.4.5定制简谱数据的ROM
7.4.6简谱转换成分频数
7.4.7数控分频和占空比调整设计
7.4.8基于点阵显示屏的曲名显示
7.4.9系统顶层设计
第8章EDA技术设计实验项目
设计一8位数码扫描显示电路
一、设计目的
二、设计内容及要求
三、设计原理
四、思考
设计二直流电机的PWM控制
一、设计目的
二、设计内容及要求
三、设计原理
四、思考
设计三基于VHDL状态机的A/D采样控制电路设计
一、设计目的
二、设计内容及要求
三、设计原理
四、思考
设计四硬件乐曲演奏电路及扩展设计——音乐播放器
一、设计目的
二、设计内容及要求
三、设计原理
四、思考
设计五四人抢答电路与八路彩灯控制器
一、设计目的
二、设计内容及要求
三、设计原理
四、思考
设计六交通灯控制系统设计
一、设计目的
二、设计内容及要求
三、设计原理
四、思考
参考文献

精彩书摘

  3.1VHDL概述
  VHDL主要用于描述数字系统的接口、结构、行为功能,除此之外还包含了关于该设计所适用的设计规范的说明。
  通常情况下,对于一个集成芯片的关注往往集中在以下几点:这个芯片是哪个公司生产的,符合什么设计标准或规范,是否通用;这个芯片各引脚的职能如何,即哪些作为数据输入用,哪些作为数据输出用,对这些引脚有什么约束;最重要的一点就是这个芯片有什么功能。
  相应地,使用VHDL设计一个硬件电路时,也需要把这3方面信息表述出来供使用者了解。首先进行库、程序包使用说明,表明设计是在什么规范内设计的,然后进行设计实体的说明,表明所设计的硬件电路与整个系统的接口信息。通过实体说明,可以粗略透露这个封装了的看不到内部结构的电路的规模、功能,但是远远不够清晰和准确,这就需要进一步的结构体说明,它能准确描述所设计的硬件电路内部各组成部分的功能、相互间的逻辑关系以及整个系统的逻辑功能。至此,VHDL所描述的电路的全部信息就都清晰地展现出来了。
  本章遵循VHDL代码编写的结构顺序,对于出现的新概念、新语法现象依次进行说明。
  3.1.1一个设计实例
  在具体介绍VHDL的结构要素以及编程设计方法之前,用一个比较有代表性的设计实例来呈现VHDL设计的概貌。
  序列信号发生器能够产生一组特定的串行数字信号,常常用于数字信号的传输和数字系统的测试。8位序列信号发生器由模8计数器和八选一数据选择器共同构成,计数器的输出将作为数据选择器的地址,由该地址指定接于8��1MUX输入端的数据由其唯一的输出端进行输出。由于计数器的计数过程是按节拍进行的,是循环的、周而复始的,因此数据选择器发出的信号也是序列的、周而复始的。
  构成序列信号发生器的MUX和计数器分别是组合逻辑和时序逻辑的典型电路,设计代码分别见例3.1和例3.2。例3.3用元件例化法将这两个电路作为底层元件加以调用,完成了最后的顶层设计。
  【例3.1】设计一个八选一数据选择器。
  LIBRARYIEEE;--IEEE库及程序包的使用说明
  USEIEEE.STD_LOGIC_1164.ALL;
  USEIEEE.STD_LOGIC_UNSIGNED.ALL;
  USEIEEE.STD_LOGIC_ARITH.ALL;
  ENTITYMUX_1IS--实体MUX_1的说明
  PORT(
  S:INSTD_LOGIC_VECTOR(2DOWNTO0);
  D0,D1,D2,D3,D4,D5,D6,D7:INSTD_LOGIC;
  Y:OUTSTD_LOGIC);
  ENDMUX_1;
  ARCHITECTUREaaofMUX_1is--结构体aa的说明
  BEGIN
  PROCESS(S)IS--使用进程语句进行描述
  BEGIN
  IFS="000"THEN--使用IF语句
  Y<=D0;
  ELSIFS="001"THEN
  Y<=D1;
  ELSIFS="010"THEN
  Y<=D2;
  ELSIFS="011"THEN
  Y<=D3;
  ELSIFS="100"THEN
  ……

前言/序言

  前言
  近年来,随着EDA技术的快速发展和日臻完善,信息电子类高新技术项目的开发与设计越来越广泛地采用EDA技术。EDA技术中,软件设计方案落实到硬件系统的环节由专用工具自动完成,这使设计人员从繁重的手工设计中解脱出来,得以将更多精力投入设计优化、性能提高方面。在基于EDA技术的产品设计中,可以在设计过程中的多个阶段进行仿真,现场编程修改、升级系统设计,在完成硬件系统后,还能对系统中的目标器件进行边界扫描测试,进一步确认设计的正确性,大大降低了设计成本,缩短了设计周期。另外,由于承载设计方案的核心器件是大规模可编程逻辑器件,它的高密度、低功耗、高速稳定的特性造就了以此为核心的电子系统在体积、功耗、速度、稳定性方面优越的性能。同时,EDA技术采用的“自顶向下”的设计思想和方法使得设计过程中不必因为某个层级出现了问题而将底层的设计全部推翻重做,这样将使复杂设计的成功率更高。
  EDA技术的巨大优势与广泛应用使得越来越多的人希望迅速掌握EDA设计的方法和应用技巧。目前各高校信息电子类专业普遍开设EDA课程,旨在培养EDA技术方面的专业人才,然而高校EDA课程大都存在信息量大与学时少的矛盾。本书结合作者多年的教学与科研经验,遵循学生的认知规律,摒弃了在内容阐述上片面追求面面俱到的做法,对EDA技术的内容进行了精简,对内容的顺序安排做出了调整,力求重点突出,言简意赅,便于初学者在较短时间内把握EDA设计要领。
  本书的总体编写思路是,保留完成设计必不可少的最基础、最常用、最高效可行的设计方法,删减操作困难、使用烦琐、语义晦涩的语句和流程,使得初学者有信心,易上手。在内容组织上做了如下安排:先介绍EDA技术的概况,使读者对EDA技术有基本了解;然后简要介绍EDA设计的重要载体CPLD/FPGA的内部结构和工作原理,使学习者能够基于CPLD/FPGA的特性进行有效设计;在介绍了编程语言和编程规则后,介绍EDA软件工具操作办法,至此,学习者已经能够独立完成一个简单的设计。在此基础上,介绍VHDL语法与设计技巧,并通过较为复杂的综合系统设计实例使学习者形成并提升设计能力。
  本书共8章。第1章概括介绍EDA技术的含义、发展状况、主要内容、设计流程与工具;第2章介绍大规模可编程器件CPLD和FPGA的结构和工作原理,并对二者的性能特点进行对比;第3章介绍VHDL语言的结构与要素,阐述运用VHDL语言应遵循的基本规则;第4章介绍EDA开发软件工具QuartusⅡ的应用方法;第5章介绍VHDL常用语句,包括顺序语句和并行语句;第6章介绍基本电路设计方法以及应用于较复杂电路设计的两种方法——状态机设计法和LPM定制法;第7章详细介绍4个综合性较强的设计项目,包括设计要求、设计方案、源代码、仿真分析与电路RTL图;第8章为基于EDA课程的实验项目。
  全书由张瑾统稿,李泽光校审,第1章、第4~7章由张瑾编写,第2章、第3章由李泽光编写,第8章由韩睿编写。在本书编写过程中,戴文季、侯海鹏、杨腾、李雅丽、许莹红、李学芳、罗钰杰、石娅等同学在程序调试与硬件测试中做了很多工作,同时本书的编写也参考了很多专家与学者的文献,在此深表感谢!
  由于编者水平有限,书中难免存在错误和疏漏之处,恳请广大读者和同行专家批评指正!
  编者
  2017年7月于大连


EDA技术及应用/高等学校电子信息类专业系列教材 电子书 下载 mobi epub pdf txt

EDA技术及应用/高等学校电子信息类专业系列教材 pdf epub mobi txt 电子书 下载
想要找书就要到 静流书站
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

用户评价

评分

评分

评分

评分

评分

评分

评分

评分

评分

类似图书 点击查看全场最低价

EDA技术及应用/高等学校电子信息类专业系列教材 pdf epub mobi txt 电子书 下载


分享链接


去京东购买 去京东购买
去淘宝购买 去淘宝购买
去当当购买 去当当购买
去拼多多购买 去拼多多购买


EDA技术及应用/高等学校电子信息类专业系列教材 bar code 下载
扫码下载










相关图书




本站所有内容均为互联网搜索引擎提供的公开搜索信息,本站不存储任何数据与内容,任何内容与数据均与本站无关,如有需要请联系相关搜索引擎包括但不限于百度google,bing,sogou

友情链接

© 2024 windowsfront.com All Rights Reserved. 静流书站 版权所有