數字邏輯電路基礎(第2版) pdf epub mobi txt 電子書 下載 2024

圖書介紹


數字邏輯電路基礎(第2版)

簡體網頁||繁體網頁
江國強,覃琴 著



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-02


類似圖書 點擊查看全場最低價

齣版社: 電子工業齣版社
ISBN:9787121300738
版次:2
商品編碼:12092944
包裝:平裝
叢書名: 電子電氣基礎課程規劃教材
開本:16開
齣版時間:2017-01-01
用紙:膠版紙
頁數:268
字數:500000
正文語種:中文

數字邏輯電路基礎(第2版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



數字邏輯電路基礎(第2版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

數字邏輯電路基礎(第2版) pdf epub mobi txt 電子書 下載



具體描述

內容簡介

全書共10章,包括數製與編碼、邏輯代數和硬件描述語言基礎、門電路、組閤邏輯電路、觸發器、時序邏輯電路、脈衝單元電路、數模和模數轉換、半導體存儲器和可編程邏輯器件,各章後附有思考題和習題。本書是結閤傳統數字設計技術與*新數字設計技術編寫的,書中保留瞭傳統的卡諾圖的邏輯化簡手段、布爾方程錶達式設計方法和相應的中小規模集成電路的堆砌技術等方麵內容,新增瞭以硬件描述語言(HDL)、可編程邏輯器件(PLD)的現代數字電路設計技術方麵的內容。書中列舉瞭大量的基於HDL的門電路、觸發器、組閤邏輯電路、時序邏輯電路、半導體存儲器和數字係統設計的實例,供讀者參考。每個設計實例都經過瞭電子設計自動化(EDA)軟件的編譯和仿真,確保無誤。本教材圖文並茂、通俗易懂,並配有電子課件和《數字電路學習指導與實驗》輔導教材,可作為高等學校工科有關專業的教材和相關工程技術人員的參考書。

作者簡介

    江國強,男,桂林電子科技大學教授,長期從事“數字邏輯電路”、“微機原理”、“EDA技術與應用”、“SOPC技術與應用”等課程的研究生和本科生的教學。2007年榮獲美國ALTERA公司的“FPGA終身教學成就奬”。

目錄

目 錄

第1章 數製與編碼 (1)
1.1 概述 (1)
1.1.1 模擬電子技術和數字電子
技術 (1)
1.1.2 脈衝信號和數字信號 (1)
1.1.3 數字電路的特點 (2)
1.2 數製及其轉換 (2)
1.3 編碼 (5)
1.3.1 二?十進製編碼 (5)
1.3.2 字符編碼 (6)
本章小結 (7)
思考題和習題 (7)
第2章 邏輯代數和硬件描述語言
基礎 (9)
2.1 邏輯代數基本概念 (9)
2.1.1 邏輯常量和邏輯變量 (9)
2.1.2 基本邏輯和復閤邏輯 (9)
2.1.3 邏輯函數的錶示方法 (13)
2.1.4 邏輯函數的相等 (15)
2.2 邏輯代數的運算法則 (16)
2.2.1 邏輯代數的基本公式 (16)
2.2.2 邏輯代數的基本定理 (16)
2.2.3 邏輯代數的常用公式 (17)
2.2.4 異或運算公式 (19)
2.3 邏輯函數的錶達式 (19)
2.3.1 邏輯函數常用錶達式 (19)
2.3.2 邏輯函數的標準錶達式 (20)
2.4 邏輯函數的簡化法 (22)
2.4.1 邏輯函數簡化的意義 (22)
2.4.2 邏輯函數的公式簡化法 (23)
2.4.3 邏輯函數的卡諾圖
簡化法 (24)
2.5 Verilog HDL基礎 (28)
2.5.1 Verilog HDL設計模塊的
基本結構 (29)
2.5.2 Verilog HDL的詞法 (30)
2.5.3 Verilog HDL的語句 (36)
2.5.4 不同抽象級彆的
Verilog HDL模型 (42)
本章小結 (43)
思考題和習題 (43)
第3章 門電路 (45)
3.1 概述 (45)
3.2 晶體二極管和三極管的
開關特性 (46)
3.2.1 晶體二極管的開關特性 (46)
3.2.2 晶體三極管的開關特性 (50)
3.3 分立元件門 (54)
3.3.1 二極管與門 (54)
3.3.2 二極管或門 (55)
3.3.3 三極管非門 (56)
3.3.4 復閤邏輯門 (56)
3.3.5 正邏輯和負邏輯 (58)
3.4 TTL集成門 (58)
3.4.1 TTL集成與非門 (59)
3.4.2 TTL與非門的外部特性 (60)
3.4.3 TTL與非門的主要參數 (64)
3.4.4 TTL與非門的改進電路 (65)
3.4.5 TTL其他類型的集成
電路 (66)
3.4.6 TTL集成電路多餘輸入端
的處理 (68)
3.4.7 TTL電路的係列産品 (69)
3.5 其他類型的雙極型集成
電路 (69)
3.5.1 ECL電路 (69)
3.5.2 I2L電路 (70)
3.6 MOS集成門 (70)
3.6.1 MOS管 (70)
3.6.2 MOS反相器 (72)
3.6.3 MOS門 (74)
3.6.4 CMOS門的外部特性 (77)
3.7 基於Verilog HDL的門電路
設計 (78)
3.7.1 用assign語句建模方法
實現門電路的描述 (79)
3.7.2 用門級元件例化建模方式
來描述門電路 (80)
本章小結 (81)
思考題和習題 (81)
第4章 組閤邏輯電路 (85)
4.1 概述 (85)
4.1.1 組閤邏輯電路的結構和
特點 (85)
4.1.2 組閤邏輯電路的分析
方法 (85)
4.1.3 組閤邏輯電路的設計
方法 (86)
4.2 若乾常用的組閤邏輯電路 (90)
4.2.1 算術運算電路 (90)
4.2.2 編碼器 (92)
4.2.3 譯碼器 (94)
4.2.4 數據選擇器 (98)
4.2.5 數值比較器 (100)
4.2.6 奇偶校驗器 (102)
4.3 組閤邏輯電路設計 (104)
4.3.1 采用中規模集成部件
實現組閤邏輯電路 (104)
4.3.2 基於Verilog HDL的組閤
邏輯電路的設計 (108)
4.4 組閤邏輯電路的競爭-冒險
現象 (118)
本章小結 (120)
思考題和習題 (121)
第5章 觸發器 (124)
5.1 概述 (124)
5.2 基本RS觸發器 (124)
5.2.1 由與非門構成的基本
RS觸發器 (125)
5.2.2 由或非門構成的基本
RS觸發器 (127)
5.3 鍾控觸發器 (128)
5.4 集成觸發器 (132)
5.4.1 主從JK觸發器 (132)
5.4.2 邊沿JK觸發器 (134)
5.4.3 維持-阻塞結構集成
觸發器 (135)
5.5 觸發器之間的轉換 (136)
5.6 基於Verilog HDL的觸發器
設計 (138)
5.6.1 基本RS觸發器的設計 (138)
5.6.2 D鎖存器的設計 (139)
5.6.3 D觸發器的設計 (140)
5.6.4 JK觸發器的設計 (141)
本章小結 (142)
思考題和習題 (142)
第6章 時序邏輯電路 (145)
6.1 概述 (145)
6.2 寄存器和移位寄存器 (148)
6.2.1 寄存器 (148)
6.2.2 移位寄存器 (148)
6.2.3 集成移位寄存器 (150)
6.3 計數器 (152)
6.3.1 同步計數器的分析 (152)
6.3.2 異步計數器的分析 (155)
6.3.3 集成計數器 (159)
6.4 時序邏輯電路的設計 (162)
6.4.1 同步計數器的設計 (163)
6.4.2 異步計數器的設計 (166)
6.4.3 移存型計數器的設計 (169)
6.4.4 一般同步時序邏輯電路的
設計 (172)
6.5 基於Verilog HDL的時序
邏輯電路的設計 (174)
6.5.1 數碼寄存器的設計 (174)
6.5.2 移位寄存器的設計 (176)
6.5.3 計數器的設計 (177)
6.5.4 順序脈衝發生器的設計 (181)
6.5.5 序列信號發生器的設計 (182)
6.5.6 序列信號檢測器的設計 (184)
本章小結 (184)
思考題和習題 (185)
第7章 脈衝單元電路 (188)
7.1 概述 (188)
7.1.1 脈衝單元電路的分類、
結構和波形參數 (188)
7.1.2 脈衝波形參數的分析
方法 (189)
7.1.3 555定時器 (189)
7.2 施密特觸發器 (191)
7.2.1 用555定時器構成施密
特觸發器 (191)
7.2.2 集成施密特觸發器 (193)
7.3 單穩態觸發器 (194)
7.3.1 用555定時器構成單穩態
觸發器 (194)
7.3.2 集成單穩態觸發器 (195)
7.4 多諧振蕩器 (198)
7.4.1 用555定時器構成多諧
振蕩器 (198)
7.4.2 用門電路構成多諧
振蕩器 (200)
7.4.3 石英晶體振蕩器 (201)
7.4.4 用施密特電路構成多諧
振蕩器 (201)
本章小結 (202)
思考題和習題 (202)
第8章 數/模和模/數轉換 (204)
8.1 概述 (204)
8.2 數/模(D/A)轉換 (205)
8.2.1 D/A轉換器的結構 (205)
8.2.2 D/A轉換器的主要技術
指標 (209)
8.2.3 集成D/A轉換器 (210)
8.3 模/數(A/D)轉換 (211)
8.3.1 A/D轉換器的基本原理 (212)
8.3.2 A/D轉換器的類型 (214)
8.3.3 A/D轉換器的主要技術
指標 (218)
8.3.4 集成A/D轉換器 (219)
本章小結 (220)
思考題和習題 (221)
第9章 半導體存儲器 (222)
9.1 概述 (222)
9.1.1 半導體存儲器的結構 (222)
9.1.2 半導體存儲器的分類 (223)
9.2 隨機存儲器 (223)
9.2.1 靜態隨機存儲器
(SRAM) (223)
9.2.2 動態隨機存儲器
(DRAM) (224)
9.2.3 隨機存儲器的典型芯片 (225)
9.2.4 隨機存儲器的擴展 (226)
9.3 隻讀存儲器 (228)
9.3.1 固定ROM (228)
9.3.2 可編程隻讀存儲器 (229)
9.3.3 可擦除可編程隻讀
存儲器 (229)
9.3.4 ROM的應用 (230)
9.3.5 可編程邏輯陣列PLA (231)
9.4 基於Verilog HDL的存儲器
設計 (232)
9.4.1 RAM設計 (232)
9.4.2 ROM的設計 (234)
本章小結 (235)
思考題和習題 (236)
第10章 可編程邏輯器件 (237)
10.1 PLD的基本原理 (237)
10.1.1 PLD的分類 (237)
10.1.2 陣列型PLD (239)
10.1.3 現場可編程門陣列
(FPGA) (243)
10.1.4 基於查找錶(LUT)
的結構 (245)
10.2 PLD的設計技術 (247)
10.2.1 PLD的設計方法 (248)
10.2.2 PLD的設計流程 (248)
10.2.3 在係統可編程技術 (251)
10.2.4 邊界掃描技術 (253)
10.3 PLD的編程與配置 (254)

10.3.1 CPLD的ISP方式編程 (255)
10.3.2 使用PC的並口配置
FPGA (256)
本章小結 (256)
思考題和習題 (257)
附錄A 國産半導體集成電路型號
命名法(GB3430―82) (258)
參考文獻

前言/序言

第2版前言

在20世紀90年代,國際上電子和計算機技術先進的國傢,一直在積極探索新的電子電路設計方法,在設計方法、工具等方麵進行瞭徹底的變革,並取得巨大成功。在電子設計技術領域,可編程邏輯器件(PLD)的應用,已得到很好的普及,這些器件為數字係統的設計帶來極大的靈活性。由於該器件可以通過軟件編程而對其硬件結構和工作方式進行重構,使得硬件的設計可以如同軟件設計那樣方便快捷,極大地改變瞭傳統的數字係統設計方法、設計過程和設計觀念。隨著可編程邏輯器件集成規模不斷擴大、自身功能不斷完善,以及計算機輔助設計技術的提高,使現代電子係統設計領域的電子設計自動化(EDA)技術應運而生。傳統的數字電路設計模式,如利用卡諾圖的邏輯化簡手段、布爾方程錶達式設計方法和相應的中小規模集成電路的堆砌技術正在迅速地退齣曆史舞颱。

本書是基於硬件描述語言HDL(Hardware Description Language)編寫的。目前,國際最流行的、並成為IEEE標準的兩種硬件描述語言是VHDL和Verilog HDL,兩種HDL各具特色。但Verilog HDL是在C語言的基礎上演化而來的,隻要具有C語言的編程基礎,就很容易學會並掌握這種語言,而且國內外90%的電子公司都把Verilog HDL作為企業標準設計語言,因此本教材以Verilog HDL為主作為數字電路與係統的設計工具。

考慮到以卡諾圖為邏輯化簡手段和相應設計技術這種傳統的數字電路設計模式仍然在國內多數高等院校使用,因此本書保留瞭這部分內容,同時新增瞭基於Verilog HDL現代的數字電路設計技術。讀者通過兩種設計技術的比對,更能體會現代數字電路設計技術的優越性與高效率性。

本書第1版於2010年齣版,承濛讀者的厚愛,被國內多所大學選作教材。

第2版對第3、4、5、6和9章中的基於Verilog HDL的設計內容進行瞭修訂,使數字電路設計的Verilog HDL源程序更加簡潔、明瞭。

本書共10章:

第1章數製與編碼,介紹脈衝信號和數字信號的特點、數製及其轉換、二-十進製編碼和字符編碼。

第2章邏輯代數和硬件描述語言基礎,介紹分析和設計數字邏輯電路的數學方法。首先介紹邏輯代數的基本概念、邏輯函數及其錶示方法、基本公式、常用公式和重要定理,然後介紹硬件描述語言的基本知識,作為數字邏輯電路的設計基礎。

第3章門電路,介紹晶體管的開關特性,TTL集成門電路和CMOS集成門電路。對於每一種門電路,除瞭介紹其電路結構、工作原理和邏輯功能外,還著重討論它們的電氣特性,為實際使用這些器件打下基礎,最後介紹基於Verilog HDL的門電路設計。

第4章組閤邏輯電路,介紹組閤邏輯電路的特點、組閤邏輯電路的分析方法和設計方法。在組閤邏輯電路分析內容方麵,以加法器、編碼器、譯碼器、數據選擇器、數據比較器、奇偶校驗器等電路的分析為例,介紹常用組閤邏輯電路的結構、工作原理、邏輯功能、使用方法和主要用途,為讀者使用這些器件打下基礎。在組閤邏輯電路設計內容方麵,除瞭介紹傳統的設計方法外,還重點介紹瞭基於Verilog HDL的設計方法。最後介紹組閤邏輯電路中的競爭-冒險。

第5章觸發器,介紹觸發器的類型、電路結構和功能的錶示方法,並介紹基於Verilog HDL的觸發器設計,為時序邏輯電路的學習打下基礎。

第6章時序邏輯電路,介紹時序邏輯電路的結構及特點,常用集成時序邏輯部件的功能及使用方法,時序邏輯電路的分析方法,傳統時序邏輯電路的設計方法和基於Verilog HDL的時序邏輯電路的設計方法。

第7章脈衝單元電路,介紹矩形脈衝信號的産生和整形電路。555定時器是一種多用途的數字/模擬混閤集成電路,本章以555定時器為主,介紹用它構成的多諧振蕩器、施密特觸發器和單穩態觸發器電路,同時還介紹用其他方式構成的脈衝單元電路。

第8章數模與模數轉換,介紹D/A轉換器和A/D轉換器的原理、電路結構和主要技術指標,還介紹瞭集成D/A轉換芯片DAC0832和集成A/D轉換芯片ADC0809的內部結構、工作原理和使用方法。

第9章半導體存儲器,首先介紹半導體存儲器的結構與分類,然後介紹半導體存儲器(RAM 和ROM)的工作原理和使用方法,還介紹瞭隻讀存儲器ROM和可編程邏輯陣列PLA在組閤邏輯電路設計方麵的應用,最後介紹基於Verilog HDL的半導體存儲器的設計。

第10章可編程邏輯器件,介紹可編程邏輯器件(PLD)的基本原理、電路結構和編程 方法。

書中列舉瞭大量的基於Verilog HDL的門電路、觸發器、組閤邏輯電路、時序邏輯電路、存儲器和數字係統設計的實例,供讀者參考。每個設計實例都經過瞭EDA工具軟件的編譯和仿真,確保無誤。

全書邏輯電路圖盡可能采用國標GB4728.12—85(即國標標準IEC617—12),為瞭讀者習慣,保留瞭國際和國內的慣用符號。

本書配有電子課件,可登錄華信教育資源網www.hxedu.com.cn下載,並配有《數字電路學習指導與實驗》輔導教材,可一並選用。

本書由桂林電子科技大學江國強和覃琴編著,如有不足之處,懇請讀者指正。

E-mail:hmjgq@gliet.edu.cn

地 址:桂林電子科技大學退休辦(541004)

電 話:(0773)5601095,13977393225


編著者



數字邏輯電路基礎(第2版) 下載 mobi epub pdf txt 電子書

數字邏輯電路基礎(第2版) pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

數字邏輯電路基礎(第2版) pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


數字邏輯電路基礎(第2版) bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有