Verilog數字係統設計教程 (第3版) pdf epub mobi txt 電子書 下載 2024

圖書介紹


Verilog數字係統設計教程 (第3版)

簡體網頁||繁體網頁
夏宇聞 著



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-04-28


類似圖書 點擊查看全場最低價

齣版社: 北京航空航天大學齣版社
ISBN:9787512424692
版次:3
商品編碼:12224636
包裝:平裝
開本:16開
齣版時間:2017-07-01
用紙:膠版紙
頁數:477

Verilog數字係統設計教程 (第3版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



Verilog數字係統設計教程 (第3版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

Verilog數字係統設計教程 (第3版) pdf epub mobi txt 電子書 下載



具體描述

內容簡介

  

  Verilog數字係統設計教程(第3版)

  本書講述瞭利用硬件描述語言(VerilogHDL)設計復雜數字係統的方法。這種方法源自20世紀90年代的美國在美國取得成效後迅速在其他先進工業國得到推廣和普及。利用硬件描述語言建模、通過仿真和綜閤技術設計齣極其復雜的數字係統是這種技術的優勢。

  本書從算法和計算的基本概念齣發講述如何用硬綫邏輯電路實現復雜數字邏輯係統的方法。全書共四部分。第一部分Verilog數字設計基礎與第二部分Verilog數字係統設計和驗證共18章;第三部分共12個上機練習實驗範例;第四部分是Verilog硬件描述語言參考手冊可供讀者學習、查詢之用。本書第3版後在語法篇中增加瞭IEEEVerilogl3642001標準簡介以反映Verilog語法的新變化。

  本書的講授方式以每2學時講授一章為宜每次課後需要花10h來復習思考。完成10章學習後就可以開始做上機練習從簡單到復雜由典型到一般循序漸進地學習VerilogHDL基礎知識。按照書上的步驟可以使大學電子類及計算機工程類本科及研究生以及相關領域的設計工程人員在半年內掌握VerilogHDL設計技術。

  本書可作為電子工程類、自動控製類、計算機類的大學本科高年級及研究生教學用書亦可供其他工程人員自學與參考。


  

目錄

緒論
第一部分 Verilog數字設計基礎
第1章 Verilog的基本知識
1.1 硬件描述語言HDL
1.2 Verilog HDL的曆史
1.2.1 什麼是Verilog HDL
1.2.2 Verilog HDL的産生及發展
1.3 Verilog HDL和VHDL的比較
1.4 Verilog的應用情況和適用的設計
1.5 采用Verilog HDL設計復雜數字電路的優點
1.5.1 傳統設計方法——電路原理圖輸入法
1.5.2 Verilog HDL設計法與傳統的電路原理圖輸入法的比較
1.5.3 Verilog的標準化與軟核的重用
1.5.4 軟核、固核和硬核的概念及其重用
1.6 采用硬件描述語言(Verilog HDL)的設計流程簡介
1.6.1 自頂嚮下(Top_Down)設計的基本概念
1.6.2 層次管理的基本概念
1.6.3 具體模塊的設計編譯和仿真的過程
1.6.4 具體工藝器件的優化、映像和布局布綫
小結
思考題
第2章 Verilog語法的基本概念
概述
2.1 Verilog模塊的基本概念
2.2 Verilog用於模塊的測試
小結
思考題
第3章 模塊的結構、數據類型、變量和基本運算符號
概述
3.1 模塊的結構
3.1.1 模塊的端口定義
3.1.2 模塊內容
3.1.3 理解要點
3.1.4 要點總結
3.2 數據類型及其常量和變量
3.2.1 常量
3.2.2 變量
3.3 運算符及錶達式
3.3.1 基本的算術運算符
3.3.2 位運算符
小結
思考題
第4章 運算符、賦值語句和結構說明語句
概述
4.1 邏輯運算符
4.2 關係運算符
4.3 等式運算符
4.4 移位運算符
4.5 位拼接運算符
4.6 縮減運算符
4.7 優先級彆
4.8 關鍵詞
4.9 賦值語句和塊語句
4.9.1 賦值語句
4.9.2 塊語句
小結
思考題
第5章 條件語句、循環語句、塊語句與生成語句
概述
5.1 條件語句(if else語句)
5.2 case語句
5.3 條件語句的語法
5.4 多路分支語句
……

第二部分 Verilog數字係統設計和驗證
第三部分 Verilog數字設計示範與實驗練習
第四部分 Verilog簡明語法
參考文獻
齣版者的話

前言/序言

  Verilog數字係統設計教程 (第3版)

  數字信號處理( DSP)係統的研究人員一直在努力尋找各種經優化的算法來解決相關的信 號處理問題。當他們産生瞭比較理想的算法思路後,就在計算機上用C語言或其他語言程序 來驗證該算法,並不斷修改以期完善,然後與彆的算法做性能比較。在現代通信和計算機係統 中,對於DSP算法評價最重要的指標是看它能否滿足工程上的需要。而許多工程上的需要都 有實時響應的要求,也就是所設計的數字信號處理( DSP)係統必須在限定的時間內,如在幾個 毫秒( ms)甚至幾個微秒( μs)內,對所輸入的大量數據完成相當復雜的運算,並輸齣處理結果。這 時如果僅僅使用通用的微處理器,即使是專用於信號處理的微處理器,往往也無法滿足實時 響應的要求。因此,不得不設計專用的高速硬綫邏輯來完成這樣的運算。設計這樣有苛刻實 時要求的、復雜的高速硬綫運算邏輯是一件很有挑戰性的工作,即使有瞭好的算法而沒有好的 設計工具和方法也很難完成。

  半個世紀來,我國在復雜數字電路設計技術領域與國外的差距越來越大。作為一名在大 學講授專用數字電路與係統設計課程的老師深深感到責任的重大。筆者認為,我國在這一技 術領域的落後與大學的課程設置和教學條件有關。因為我們沒有及時把國外最先進的設計方 法和技術介紹給學生,也沒有給他們創造實踐的機會。

  1995年我受學校和係領導的委托,籌建世行貸款的電路設計自動化( EDA)實驗室。通過 20多年來的摸索、實踐,逐步掌握瞭利用Ve r i l ogHDL設計復雜數字電路的仿真和綜閤技術。 在此期間我們為航天部等有關單位設計瞭衛星信道加密用的復雜數字電路,提供給他們經前 後仿真驗證的Ve r i l ogHDL源代碼,得到瞭很高的評價。在其後的幾年中又為該單位設計瞭 衛星下行信道RS( 255, 223)編碼/解碼電路和衛星上行信道BCH( 64, 56)編碼/解碼電路,這 幾個項目已先後通過有關單位的驗收。1999年到2000年期間,我們又成功地設計瞭用於小 波(Wave l e t)圖像壓縮/解壓縮的小波捲積器和改進的零修剪樹算法( SPIHT算法)的RTL級 Ve r i l ogHDL模型。不但成功地對該模型進行瞭仿真和綜閤,而且製成的可重新配置硬綫邏 輯(采用ALTERAFLEX10K係列CPLD/10/30/50各一片)的PCI綫路闆,能完成約2000 條C語句程序纔能完成的圖像/解壓縮算法。運算結果與軟件完成的效果完全一緻,而且速 度比用微型計算機快得多。2003年由作者協助指導的JPEG2000算法硬綫邏輯設計,在清華 同行的努力下完成瞭FPGA驗證後並成功地投片,該芯片目前已應用於實時監控係統,可見 這種新設計方法的潛力。近年來作者帶領的研究生分彆為日本某公司、香港科技大學電子係、 革新科技公司和神州龍芯集成電路設計公司完成多項設計,其中包括SATA接口、 AMBA總 綫接口、 LED控製器和USB控製器等在內的多項IP設計,取得瞭良好的社會效益和聲譽。 2006年鞦起,正式受聘於神州龍芯等集成電路設計公司擔任技術顧問,目前在至芯科技公司 擔任FPGA設計培訓顧問。

  本書是在1998年北京航空航天大學齣版社齣版的《復雜數字電路與係統的Ve r i l ogHDL 設計技術》、 2003年《 Ve r i l og數字係統設計教程》和2008年《 Ve r i l og數字係統設計教程(第2 版)》基礎上修訂的,是一本既有理論又有實踐的設計大全。由於教學、科研、技術資料翻譯和實驗室的各項工作很忙,隻能利用零碎時間,一點一滴地把積纍的教學經驗和新收集到的材料 補充輸入到計算機中,抽空加以整理。我們使用Ve r i l og設計復雜數字邏輯電路雖然已經有 20餘年的時間,但仍在不斷地學習提高之中,書中難免存在疏忽、錯誤之處,敬請細心的讀者 不吝指教。筆者之所以在原版基礎上把這本書再版,是想把原教材中一些不足的地方作一些 必要的補充和修改,在大學生和研究生中加快Ve r i l og設計技術的推廣,盡快培養一批掌握先 進設計技術的跨世紀的人纔。期望本書能在這一過程中起到拋磚引玉的作用。

  迴想起來,這本書實質上是我們實驗室全體老師和同學們多年的勞動成果,其中在EDA 實驗室工作過的曆屆研究生張琰、山崗、王靜璿、田玉文、馮文楠、楊柳、傅紅軍、龔劍、王書龍、 鬍瑛、楊雷、邢偉、管麗、劉曦、王進磊、王煜華、蘇宇、張雲帆、楊鑫、徐偉俊、邢小地、霍強、宋成 偉、邢誌成、李鵬、李琪、陳岩、趙宗民等都幫我做瞭許多工作,如部分素材的翻譯、整理、錄入和 一些Ve r i l ogHDL模塊的設計修改和驗證。而我做的工作隻是收集全書的素材、翻譯、理解素 材中一些較難的概念,結閤教學經驗編寫一些章節和範例,以及全書文稿的最後組織、整理和 補充,使其達到齣版的要求。趁此機會讓我衷心地感謝在編寫本書過程中所有給過我幫助和 鼓勵的老師和同學們。本書是在第2版第20次印刷之後,受北航齣版社之托進行的,雖然被 稱為第3版,然而本人在至芯科技的FPGA培訓工作繁忙,沒有時間對本書做大幅度的修改, 望各位讀者諒解。

  教學中使用的多媒體課件已交付給齣版社,有需要者可發送電子郵件至good t ex t book@ 126. com嚮北航齣版社索取,可以免費提供給有關教師指導教學和備課演示之用。

  筆者的電子郵箱是xyw46@263. ne t,有問題可與作者商討,謝謝!

  夏宇聞

  2017年7月



Verilog數字係統設計教程 (第3版) 下載 mobi epub pdf txt 電子書

Verilog數字係統設計教程 (第3版) pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

很滿意。

評分

書很好,很清晰

評分

不錯

評分

很滿意。

評分

書很好,很清晰

評分

評分

很滿意。

評分

挺好的

評分

書很好,很清晰

類似圖書 點擊查看全場最低價

Verilog數字係統設計教程 (第3版) pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


Verilog數字係統設計教程 (第3版) bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有