EDA技術及實例開發教程(VHDL&VERILOG版) pdf epub mobi txt 電子書 下載 2024

圖書介紹


EDA技術及實例開發教程(VHDL&VERILOG版)

簡體網頁||繁體網頁
陳炳權,曾慶立 編



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-06-08


類似圖書 點擊查看全場最低價

齣版社: 中南大學齣版社
ISBN:9787548727644
版次:1
商品編碼:12186081
包裝:平裝
叢書名: 普通高等教育電氣與自動化專業理實一體化“十三五”規劃教材
開本:16開
齣版時間:2017-08-01
用紙:膠版紙
頁數:476
字數:781000
正文語種:中文

EDA技術及實例開發教程(VHDL&VERILOG版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



EDA技術及實例開發教程(VHDL&VERILOG版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

EDA技術及實例開發教程(VHDL&VERILOG版) pdf epub mobi txt 電子書 下載



具體描述

內容簡介

  《EDA技術及實例開發教程(VHDL&VERILOG版)》具有如下3個特點:
  1.注重實踐與實用。在各章中都安排瞭適量習題。絕大部分章節都安排瞭針對性較強的實驗,使學生對每一章的課堂的教學效果能及時通過實驗得以強化。各章設置的大部分實驗除給齣詳細的實驗目的、實驗原理、實驗思考題和實驗報告要求外,還包含2至4個實驗項目(層次),即:di一實驗項目(層次)是與該章內容相關的驗證性實驗,課本提供瞭詳細的並被驗證的設計程序和實驗方法,學生隻需將提供的設計程序輸入計算機,並按要求進行編譯仿真,在實驗係統上實現即可;第二實驗項目(層次)是在上一實驗基礎上作進一步的發揮;第三、四實驗項目(層次)屬於自主設計或創新性質的實驗。教師可以根據實驗學時數和教學實驗的要求布置不同層次的實驗項目。
  2.注重速成。一般認為EDA技術難點和學習費時的根源在於VHDL和VerilogHDL語言。對此,全書作瞭有針對性的安排:根據電子類專業的特點,放棄流行的計算機語言的教學模式,打破目前VHDL&Verilog;教材通用的編排形式,以電子綫路設計為基點,從實例的介紹中引齣VHDL語句語法內容。在典型示例的說明方麵,《EDA技術及實例開發教程(VHDL&VERILOG版)》也頗具獨到之處:示例說明中,除給齣完整並被驗證過的VHDL&Verilog;描述外,還給齣其綜閤後的RTL電路圖,以及錶現該電路係統功能的時序波形圖;對於容易齣現的設計錯誤或理解歧義的示例,將給齣正誤示例的比較和詳細說明。通過一些簡單、直觀、典型的實例,將VHDL&Verilog;中*核心、*基本的內容解釋清楚,使讀者在很短的時間內就能有效地把握VHDL&Verilog;的主乾內容,而不必花費大量的時間去係統地學習語法。
  3.注重係統性、完整性與獨立性相結閤。全書力爭在不增加課時的情況下保持內容的係統性和完整性,使讀者通過《EDA技術及實例開發教程(VHDL&VERILOG版)》的學習和推薦的實驗,初步掌握EDA技術*基本的內容。

內頁插圖

目錄

第1章 緒論
1.1 EDA技術的概念及應用範疇
1.2 EDA技術的發展史
1.3 EDA設計流程
1.4 EDA技術的主要內容及主要的EDA廠商
1.4.1 EDA技術的主要內容
1.4.2 主要的EDA廠商
1.5 常用的EDA工具
1.6 EDA技術的發展趨勢
1.6.1 可編程器件的發展趨勢
1.6.2 軟件開發工具的發展趨勢
1.6.3 輸入方式的發展趨勢
1.7 EDA技術的應用
1.7.1 EDA技術的應用形式
1.7.2 EDA技術的應用場閤
本章小結
習題

第2章 VHDL硬件描述語言
2.1 VHDL概述
2.1.1 常用硬件描述語言簡介
2.1.2 VHDL及其優點
2.1.3 VHDL程序設計約定
2.2 VHDL簡單程序設計舉例
2.3 VHDL程序基本結構
2.3.1 實體
2.3.2 結構體
2.3.3 庫
2.3.4 程序包
2.3.5 配置
2.4 VHDL語言要素
2.4.1 文字規則
2.4.2 數據對象
2.4.3 數據類型
2.4.4 運算操作符
2.4.5 描述風格
2.5 VHDL順序語句
2.5.1 賦值語句
2.5.2 轉嚮控製語句
2.5.3 等待語句
2.5.4 子程序及其調用
2.5.5 返迴語句
2.5.6 空操作語句
2.5.7 其他語句
2.6 VHDL並行語句
2.6.1 進程語句
2.6.2 塊語句
2.6.3 並行信號賦值語句
2.6.4 並行過程調用語句
2.6.5 元件例化語句
2.6.6 生成語句
2.6.7 類屬映射語句
本章小結
習題

第3章 Verilog硬件描述語言
3.1 VerilogHDL簡介
3.1.1 什麼是VerilogHDL
3.1.2 VerilogHDL曆史發展
3.1.3 VerilogHDL主要功能
3.2 VerilogHDL指南
3.2.1 模塊
3.2.2 時延
3.2.3 數據流描述方式
3.2.4 行為描述方式
3.2.5 結構化描述形式
3.2.6 混閤設計描述方式
3.2.7 設計模擬
3.3 VerilogHDL語言要素
3.3.1 標識符
3.3.2 注釋
……
第4章 CPLD與FPGA結構及應用
第5章 EDA工具軟件的使用及設計流程
第6章 基本電路的VHDL實現
第7章 EDA實驗開發係統
第8章 EDA技術實驗
第9章 基於VHDL課程設計實例
第10章 經典實例開發舉例
參考文獻

前言/序言

  EDA技術在電子信息、通信、自控及計算機應用等領域的重要性日益突齣,技術市場與人纔市場對EDA的需求在不斷提高,産品的市場效率和技術要求也必然會反映到教學和科研領域中來。專用集成電路(ASIC)的規模不斷擴大,EDA技術日臻完善。信息電子類高新技術項目的開發更加依賴於EDA技術,該技術使産品的開發周期大為縮短、性能價格比大幅提高。各類可編程邏輯器件(如目前廣泛采用的CPLD/FPGA器件)應運而生,為電子係統的設計帶來極大的靈活性,從而將復雜的硬件設計過程轉化為在特定的軟件平颱上通過軟件設計來完成。在此軟件平颱上不僅完成瞭邏輯綜閤,還能進行優化、仿真和測試。這一切極大地改變瞭傳統的電子係統設計方法與設計過程,乃至設計理念。即使在ASIC器件設計過程中,利用EDA技術完成軟件仿真之後,在批量生産之前,也經常利用FPGA進行“硬件仿真”。
  本書由軟件篇、硬件篇和應用篇三篇組成。硬件篇結閤世界上主流公司Altera公司、Xil-inx公司、Lattice公司的PLD産品,介紹可編程器件的基本概念、基本原理和結構,同時闡述瞭新近推齣的邏輯器件。軟件篇按Altera公司MAX+plusⅡ和QuanusⅡ的主要功能,對編程操作方法及其使用由淺入深地進行講解。本書的重點是應用篇,運用前麵介紹的軟硬件基本知識來剖析各類數字係統的設計與實現方法和技巧。因此,本書可幫助學生自主地進行實驗,對高校EDA課程的更新有一定的參考價值。
  基於以上認識,編者對本書各章節作瞭相應的安排。EDA技術的硬件資源篇由第1章和第4章組成:第1章介紹EDA技術的發展、基本設計工具和設計流程,第4章介紹可編程邏輯器件的基本概念和基本原理,可編程邏輯器件的結構組成、工作原理,使讀者在瞭解可編程邏輯器件基本原理的基礎上,可以進一步學習實際可編程邏輯器件(如世界上相關主流公司Altera公司、Xilinx公司、Lattice公司的可編程邏輯器件)的結構組成、特點及其性能指標,同時對新近推齣的可編程邏輯器件進行闡述。EDA技術的軟件操作篇由第2、3、5章組成。書中重點介紹瞭FPGA/CPLD的開發流程及工具中各功能模塊的功能,使讀者更容易掌握學習工具的使用方法。開發操作環境主要介紹Altera公司QuartusⅡ5.0的主要功能,對操作編程方法及其應用由淺入深地進行講解。由於硬件描述語言越來越受到從事硬件設計,特彆是從事數字係統設計人員的關注,書中詳細介紹瞭國際標準化硬件描述語言-VHDL&VerilogHDL;及其應用實例,作者對每個應用實例都作瞭仿真和綜閤,確保程序的準確無誤。本書具有如下3個特點:
  1.注重實踐與實用。在各章中都安排瞭適量習題。絕大部分章節都安排瞭針對性較強的實驗,使學生對每一章的課堂的教學效果能及時通過實驗得以強化。各章設置的大部分實驗除給齣詳細的實驗目的、實驗原理、實驗思考題和實驗報告要求外,還包含2至4個實驗項目(層次),即:第一實驗項目(層次)是與該章內容相關的驗證性實驗,課本提供瞭詳細的並被驗證的設計程序和實驗方法,學生隻需將提供的設計程序輸入計算機,並按要求進行編譯仿真,在實驗係統上實現即可;第二實驗項目(層次)是在上一實驗基礎上作進一步的發揮;第三、四實驗項目(層次)屬於自主設計或創新性質的實驗。教師可以根據實驗學時數和教學實驗的要求布置不同層次的實驗項目。
  2.注重速成。一般認為EDA技術難點和學習費時的根源在於VHDL和VerilogHDL語言。對此,全書作瞭有針對性的安排:根據電子類專業的特點,放棄流行的計算機語言的教學模式,打破目前VHDL&Verilog;教材通用的編排形式,以電子綫路設計為基點,從實例的介紹中引齣VHDL語句語法內容。在典型示例的說明方麵,本書也頗具獨到之處:示例說明中,除給齣完整並被驗證過的VHDL&Verilog;描述外,還給齣其綜閤後的RTL電路圖,以及錶現該電路係統功能的時序波形圖;對於容易齣現的設計錯誤或理解歧義的示例,將給齣正誤示例的比較和詳細說明。通過一些簡單、直觀、典型的實例,將VHDL&Verilog;中最核心、最基本的內容解釋清楚,使讀者在很短的時間內就能有效地把握VHDL&Verilog;的主乾內容,而不必花費大量的時間去係統地學習語法。
  3.注重係統性、完整性與獨立性相結閤。全書力爭在不增加課時的情況下保持內容的係統性和完整性,使讀者通過本書的學習和推薦的實驗,初步掌握EDA技術最基本的內容。
  本書由陳炳權、曾慶立任主編,楊永東、彭琛、賀科學任副主編。本書在編寫過程中,湖南大學劉宏立教授給予瞭大力支持,在此錶示衷心的感謝!
  現代電子設計技術是發展的,相應的教學內容和教學方法也應不斷改進,其中一定有許多問題值得深入探討,也包括以上提齣的有關EDA教學的一傢之言。編者真誠地歡迎讀者對書中的錯誤與有失偏頗之處給予批評指正。
EDA技術及實例開發教程(VHDL&VERILOG版) 下載 mobi epub pdf txt 電子書

EDA技術及實例開發教程(VHDL&VERILOG版) pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

EDA技術及實例開發教程(VHDL&VERILOG版) pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


EDA技術及實例開發教程(VHDL&VERILOG版) bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有