信號、電源完整性仿真設計與高速産品應用實例 pdf epub mobi txt 電子書 下載 2024

圖書介紹


信號、電源完整性仿真設計與高速産品應用實例

簡體網頁||繁體網頁
毛忠宇 等 著



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-11


類似圖書 點擊查看全場最低價

齣版社: 電子工業齣版社
ISBN:9787121331220
版次:1
商品編碼:12294358
包裝:平裝
叢書名: EDA精品智匯館
開本:16開
齣版時間:2018-01-01
用紙:膠版紙
頁數:400
字數:640000
正文語種:中文

信號、電源完整性仿真設計與高速産品應用實例 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



信號、電源完整性仿真設計與高速産品應用實例 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

信號、電源完整性仿真設計與高速産品應用實例 pdf epub mobi txt 電子書 下載



具體描述

內容簡介

目前市麵上信號與電源完整性仿真書籍的內容普遍偏於理論知識或分散的仿真樣例,給讀者的感覺往往是“隻見樹木不見森林”。針對這種情況,本書基於一個已成功開發的高速數據加速卡産品,從産品的高度介紹所有的接口及關鍵信號在開發過程中信號、電源完整性仿真的詳細過程,對涉及的信號與電源完整性仿真方麵的理論將會以圖文結閤的方式展現,方便讀者理解。為瞭使讀者能係統地瞭解信號與電源完整性仿真知識,書中還加入瞭PCB製造、電容S參數測試夾具設計等方麵的內容,並免費贈送作者開發的高效軟件工具。 本書編寫人員都具有10年以上的PCB設計、高速仿真經驗,他們根據多年的工程經驗把産品開發與仿真緊密結閤在一起,使本書具有更強的實用性。本書適閤PCB設計工程師、硬件工程師、在校學生、其他想從事信號與電源完整性仿真的電子人員閱讀,是提高自身價值及競爭力的不可多得的參考材料。

作者簡介

毛忠宇,EDA365論壇特邀版主。畢業於電子科技大學微電子科學與工程係。從事過時鍾及音樂類消費型IC的開發與測試,曾在華為技術及海思半導體從事高速互連及IC封裝研發,期間見證及參與瞭華為高速互連設計、仿真的大發展過程。著有《IC封裝基礎與工程設計實例》及《華為研發14載-那些一起奮鬥過的互連歲月》等書。

目錄

第1章 産品簡介
1.1 産品實物圖
1.2 産品背景
1.3 産品性能與應用場景
1.4 産品主要參數
1.5 主要器件參數
1.6 産品功能框圖
1.7 電源模塊
1.8 時鍾部分
1.9 DDR3模塊
1.10 散熱設計
1.11 産品結構圖
1.12 産品其他參數
第2章 PCB材料
2.1 PCB的主要部件及分類
2.1.1 PCB的主要部件
2.1.2 PCB分類
2.2 基材介紹
2.3 高速闆材選擇
第3章 PCB設計與製造
3.1 PCB設計要求
3.2 製闆工藝要求
3.3 常用PCB光繪格式
3.4 拼闆設計
3.5 基準點設計
3.6 PCB加工流程簡介
第4章 信號完整性仿真基礎
4.1 信號完整性問題
4.2 信號完整性問題産生原因
4.3 傳輸綫
4.3.1 常見的微帶綫與帶狀綫
4.3.2 傳輸綫的基本特性
4.3.3 共模與差模
4.4 反射
4.5 串擾
4.6 仿真的必要性
4.7 仿真模型
4.7.1 IBIS模型
4.7.2 HSPICE模型
4.7.3 IBIS-AMI模型
4.7.4 S參數
4.8 常用信號、電源完整性仿真軟件介紹
第5章 過孔仿真與設計
5.1 過孔介紹
5.2 過孔對高速信號的影響要素及分析
5.3 過孔優化:3D_Via_Wizard過孔建模工具的使用
5.3.1 使用3D_Via_Wizard創建差分過孔模型
5.3.2 差分過孔仿真
5.4 産品單闆高速差分信號過孔優化仿真
5.5 背鑽工藝簡介
第6章 Sigrity仿真文件導入與通用設置
6.1 PCB導入
6.1.1 ODB++文件輸齣
6.1.2 PCB文件格式轉換
6.1.3 SPD文件導入
6.2 SPD文件設置
6.3 仿真分析與結果輸齣
6.3.1 仿真掃描頻率設置
6.3.2 結果輸齣與保存
第7章 QSFP+信號仿真
7.1 QSFP+簡介
7.2 QSFP+規範
7.3 仿真網絡設置
7.4 QSFP+光模塊鏈路在ADS中的仿真
7.5 仿真結果分析
7.5.1 添加信號判斷標準
7.5.2 TX0與RX0差分信號迴環仿真分析
7.6 PCB優化設計比較與建議
7.6.1 焊盤隔層參考分析比較
7.6.2 高速差分不背鑽過孔分析比較
7.6.3 QSFP+布綫通用要求
第8章 SATA信號仿真
8.1 SATA信號簡介
8.2 SATA信號規範
8.3 仿真網絡設置
8.4 SATA信號鏈路在SystemSI中的仿真
8.4.1 建立SystemSI仿真工程
8.4.2 創建仿真鏈路
8.4.3 添加仿真模型
8.4.4 設置鏈接屬性
8.4.5 設置仿真參數
8.4.6 仿真分析
8.5 結果分析與建議
第9章 DDRx仿真
9.1 DDRx簡介
9.2 項目介紹
9.3 DDR3前仿真
9.4 DDR3後仿真
9.4.1 仿真模型編輯
9.4.2 PCB的導入過程
9.4.3 仿真軟件通用設置
9.4.4 DDR3寫操作
9.4.5 DDR3讀操作
9.4.6 仿真結果分析
9.5 DDR3同步開關噪聲仿真
9.6 時序計算與仿真
9.7 DDR4信號介紹
第10章 PCIe信號仿真
10.1 PCIe簡介
10.2 PCIe規範
10.3 仿真參數設置
10.3.1 調用仿真文件
10.3.2 定義PCIe差分信號
10.3.3 設置PCIe網絡端口
10.3.4 仿真分析
10.3.5 S參數結果與輸齣
10.4 PCIe鏈路在ADS中的仿真
10.4.1 建立ADS仿真工程
10.4.2 ADS中導入S參數文件
10.4.3 ADS頻域仿真
10.4.4 ADS時域仿真
10.4.5 通道的迴環仿真
10.5 PCIe通用設計要求
第11章 電源完整性仿真
11.1 電源完整性
11.2 電源完整性仿真介紹
11.3 産品單闆電源設計
11.4 産品單闆AC仿真分析實例
11.4.1 PCB的AC仿真設置與分析
11.4.2 仿真結果分析
11.5 産品單闆DC仿真分析實例
11.5.1 PCB的DC仿真設置與分析
11.5.2 DC仿真結果分析
11.6 PCB電源完整性設計關鍵點
第12章 電容概要
12.1 電容主要功能
12.2 電容分類
12.3 電容多維度比較
12.4 電容參數
12.5 電容等效模型
12.6 FANOUT
12.7 産品電容的擺放與FANOUT
12.8 SIP封裝電容
12.9 電容在設計中的選擇與注意事項
第13章 電容建模與測試
13.1 電容S參數模型測試夾具設計
13.2 電容S參數RLC擬閤
13.3 電容S參數模型測試方式
13.4 電容S參數模型
13.5 電容RLC擬閤提取過程
13.6 電容庫調用時的連接方式設定
13.7 常用電容等效R、L、C值及諧振錶
第14章 PI仿真平颱電容模型高效處理
14.1 背景
14.2 處理ODB++文件小軟件工具使用
14.3 Sigrity調入處理過的ODB++文件
14.4 BOM處理技巧
14.5 License免費授權

前言/序言

序 言 1

認識“小廣東”阿毛——毛忠宇這位同門小師弟有20多年瞭,他記憶力超強,幾十年前的芝麻舊事都能翻齣來;說話風趣(就是普通話還不夠標準),愛好廣泛,從流行的紅木傢私到各種茗茶飲品,樣樣都能點評幾句;而且愛鑽研,在日常工作中總會編點應用小程序來“偷偷懶”,業務能力挺強,因軟硬件皆有涉及,從闆級設計到封裝芯片協同設計都有深厚的積纍,並常常提齣些獨到的想法和見解。

記得去年鼕天阿毛與我談過齣版SI方麵書籍的想法,提到市麵上關於高速設計方麵的書很多,但是缺乏基於具體實際産品開發應用方麵的書籍,如能藉助目前團隊眾多的實際産品實例,從産品開發角度來介紹高速設計理念,則既不需要涉及太多太深的理論,又能讓開發工程師方便應用這些知識快速解決手中的實際問題,將是對業界SI知識的一個很好的補充。當時我深有同感,想不到大半年時間已經成冊。縱觀手稿,前麵幾個章節介紹瞭關於PCB設計製作的一些重要內容,這是他們的強項,不懂PCB的製造及設計SI又如何能落地!後麵的章節針對高速數據加速卡實際産品案例展開,詳細介紹瞭如何有效解決目前工程師麵臨的絕大部分各種接口的高速總綫信號完整性問題,當然也少不瞭高速設計中最麻煩的孔處理;最後介紹瞭如何有效解決熱門的電源完整性問題,並且推薦瞭阿毛自我感覺良好的幾個小程序。筆者曾有幸參觀過他們公司設備齊全的高速實驗室,對書中如何有效利用測試方法處理電容模型寄予厚望。

希望本書能帶給大傢一個不同角度的視野,使得産品開發時使用SI仿真方法更接地氣;也希望他們能根據書中內容再通過網絡平颱安排一些綫下的培訓課程,設計相應的測試對比實驗,效果會更佳。

陳蘭兵

2017年鞦於上海

序 言 2

本書作者在寫第一本《IC封裝基礎與工程設計實例》的時候,就找我作序,當時被我拒絕瞭。原因很簡單,市麵上牛人牛作品太多,多得讓人無從分辨,真要寫好書,就要耐得住寂寞,沒有“闆凳一坐十年冷”的精神是不行的,不能走“暢銷”路綫。

隨著互聯網的普及,我們真正進入瞭一個信息爆炸的時代。按理說學習這事應該很容易纔對,因為信息資源隨手可得,但人們慢慢發現,學習並非易事,很多所謂的“學習”往往讓人“聽瞭興奮,過瞭無痕”。這時,對工程師們來說,找到靠譜的嚮導和貨真價實的參考書尤為重要。作者在完成第一部作品之後,所在的團隊陸陸續續齣版瞭10多部作品,都是基於一綫的工程實踐案例編寫的,其對電子工程技術的專注與無私分享精神讓人欽佩。

我認為,一部優秀的工程技術作品首先要有撲麵而來的乾貨。如果通篇皆是理論而沒有工程實踐,就不能算是閤格的作品,理論和實踐必須“知行閤一”。在瀏覽本書目錄之後,疑慮即被打消,FPGA、DDR4、PCIe、USB、QSFP+等都是主流高速應用,甚至對PCB闆材、高速過孔、電容模型、電源等都有介紹,作者用一個功能完整的高速大數據加速卡項目作為主綫貫穿瞭全書內容,以實際量産的工程作品作為案例而不是DEMO應用,這很難得。工程師們最需要的就是能手把手照著做、有參考意義的書,本書毫無疑問是滿足瞭這個需求的。其次,作者的“售後服務”也很有特色,在EDA365網站開闢的答疑版塊和公眾號讓人很容易聯係到作者本人進行答疑交流,而不是賣完書就撒手不管,體現瞭對讀者負責的態度。

好書是用來讀的,而不是用來收藏的。每逢世界讀書日,朋友圈裏都會有很多關於閱讀問題的探討,我們的人均閱讀量比起歐美等發達國傢來說要少很多,我們真的不愛學習嗎?問題在哪裏?我想,除瞭我們缺乏良好的閱讀習慣之外,書本身的吸引力也是影響因素之一。我們很多人不是不願意學習和讀書,而是缺乏好書,缺乏貨真價實能理論聯係實際的優秀作品。為何優秀的作品不容易見到?其實不僅書籍作品如此,生活中很多東西都是這樣的,甚至連馬桶蓋都要去日本買,真的是因為國人崇洋媚外嗎?我想,根源還是在於我們缺乏足夠優秀的産品。要想有優秀的産品,就必須具備工匠精神。什麼是工匠精神?我想就是能經得住各種短期利益誘惑,能專心緻誌,闆凳一坐十年冷,把一件産品做到極緻的那種精神。電子科技産品要靠實實在在的技術研發和大量的工程實踐積纍纔有可能做到極緻,而在這些技術研發工作中,高速互連技術又是基礎支撐,基礎支撐平颱紮實瞭,上層建築纔更加穩固。

在很多500強大公司裏,往往信號完整性仿真工作就有幾十號人的團隊,其他如電磁兼容性、PCB Layout、可製造性工藝、高速闆材與連接器選型驗證、測試等分工通常一應俱全,有瞭完善的底層基礎技術支撐,上層建築纔能水到渠成。可惜的是,限於資金、規模等很多原因,很多中小型企業往往並不具備這樣專業分工的資源,隨著信號速率越來越快,底層的基礎技術研究常常成為很多中小企業的睏擾。例如在單片機時代,PCB上一條導綫隨便繞闆兩圈布綫,隻要能連通,係統就能跑起來。但在DDR、PCIe等高速信號大量應用的場景下,兩根綫差1cm可能就無法正常工作,硬件研發工程師們不得不嚴肅地對待信號完整性、電源完整性等問題。市麵上關於信號完整性仿真類的書已經有不少,但大多偏嚮軟件使用或理論教學,真正以成功量産的真實産品為案例的不多,本書的齣版無疑為電子工程師們多提供瞭一種選擇。

這些年我們國傢的科技實力取得瞭長足的進步,FAST天眼、量子通信、大飛機、航母等讓人目不暇接,在這些大型工程的背後,是無數前僕後繼的工程師們的汗水與智慧,相信總有一天我們的電子科技水平會趕上甚至超過西方發達國傢。在有些領域,比如手機産品,華為、小米、oppo/vivo等已經走齣國門並取得瞭不錯的成績,隻要工匠精神不息,相信其他領域也會一一突破,總有一天國人不再去日本買馬桶蓋。

蔣學東

2017年10月於深圳

前 言

1995年剛走齣校門,當時所接觸電子産品的信號速率不是太高,PCB設計大多隻需按Design Rule或憑自己的經驗處理即可,信號完整性問題不是很突齣,甚至還沒有信號完整性的概念。隨著時間的推移,各類高速芯片相繼齣現,從産品設計到産品交付的時間越來越短,這種僅憑經驗設計及調試硬件的方式已不能滿足産品開發的需求,針對新齣現的問題,國內一些公司開始在産品開發過程中引入信號及電源完整性仿真手段。

當時國內在信號與電源完整性方麵的研究還處於空白階段,加上互聯網交流也剛開始,很難找到信號與電源完整性方麵的實用參考材料。作者在國內接觸的第一本SI方麵的書籍為Howard Johnson英文版的High-Speed Digital Design,這本原著由陳蘭兵在一次去國外齣差時買迴,當時在公司內被集體研究並廣為傳播,可以說這本書對國內PCB設計時使用信號與電源完整性方法的發展起到瞭革命性的作用。現在信號與電源完整性的研究在國內已非常普及,齣現瞭許多關於信號與電源完整性應用方麵的參考書籍。關於國內信號與電源完整性的發展曆程可以參考筆者的《華為研發14載:那些一起奮鬥過的互連歲月》一書,其中相關章節內容基本上是國內信號與電源完整性仿真發展的一個縮影。

雖然現在市麵上有著種類繁多的關於信號與電源完整性仿真的書籍,但在通過EDA365平颱與廣大網友交流時總會聽到這樣的聲音:

(1)缺少信號與電源完整性仿真在實際産品中的全過程實例;

(2)市麵上信號與電源完整性仿真這類書籍總體上原理偏多,即使有例子也不夠係統;

(3)內容重復較多,原創內容較少等。

針對這種狀況,為瞭方便初學者更快地掌握信號與電源完整性仿真的方法及工具使用,並在此基礎上快速上手進行項目仿真,本書的編寫以一個成功開發的高速數據加速卡産品為信號與電源完整性仿真對象,全書自始至終介紹瞭此高速産品在開發過程中各類信號接口的仿真過程,對於涉及的信號與電源完整性仿真方麵的理論則以較為簡單的圖文結閤的方式展開,以方便讀者更好地理解。除此之外,為增加讀者的係統性知識,還加入瞭PCB製造及電容S參數模型夾具設計方麵的內容,並在最後免費提供兩個作者自己開發的用於提高PI仿真效率的軟件工具。因而本書除瞭內容係統、完整外,更偏於實用性,即使是一個完全沒有信號與電源完整性仿真概念的電子工程師,也可以在極短的時間內掌握常見信號的信號與電源完整性仿真流程及方法,並對項目進行初步仿真設計。

本書內容共分為14章,係統地介紹瞭一個實際産品開發過程中所用的全部接口信號的SI與P



信號、電源完整性仿真設計與高速産品應用實例 下載 mobi epub pdf txt 電子書

信號、電源完整性仿真設計與高速産品應用實例 pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

先帝創業未半而中道崩殂,今天下三分,益州疲弊,此誠危急存亡之鞦也。然侍衛之臣不懈於內,忠誌之士忘身於外者,蓋追先帝之殊遇,欲報之於陛下也。誠宜開張聖聽,以光先帝遺德,恢弘誌士之氣,不宜妄自菲薄,引喻失義,以塞忠諫之路也。

評分

非常好,JD永遠是非常棒,下次還買,必須支持!

評分

還不錯

評分

不錯,公司學習,一次買瞭6本,送貨及時!贊?!

評分

非常信任京東,服務也是很到位,送貨員也靠譜!

評分

這本書寫的,相對在國內算不錯的書,就是所有仿真能使用一個軟件就最好瞭。

評分

書的質量還可以,慢慢看吧!

評分

非常好,JD永遠是非常棒,下次還買,必須支持!

評分

這本書寫的,相對在國內算不錯的書,就是所有仿真能使用一個軟件就最好瞭。

類似圖書 點擊查看全場最低價

信號、電源完整性仿真設計與高速産品應用實例 pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


信號、電源完整性仿真設計與高速産品應用實例 bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有