ad holder

數字集成電路 電路、係統與設計(第二版) [Digital Integrated Circuits: A Design Perspective,] pdf epub mobi txt 電子書 下載 2024

圖書介紹


數字集成電路 電路、係統與設計(第二版) [Digital Integrated Circuits: A Design Perspective,]

簡體網頁||繁體網頁
[美] Jan M.Rabaey(簡 M.拉貝艾) 等 著,周潤德 等 譯



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-04-16


類似圖書 點擊查看全場最低價

齣版社: 電子工業齣版社
ISBN:9787121305054
版次:2
商品編碼:12040303
包裝:平裝
叢書名: 國外電子與通信教材係列
外文名稱:Digital Integrated Circuits: A Design Perspective,
開本:16開
齣版時間:2017-01-01
用紙:膠版紙
頁數:524

數字集成電路 電路、係統與設計(第二版) [Digital Integrated Circuits: A Design Perspective,] epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



數字集成電路 電路、係統與設計(第二版) [Digital Integrated Circuits: A Design Perspective,] epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

數字集成電路 電路、係統與設計(第二版) [Digital Integrated Circuits: A Design Perspective,] pdf epub mobi txt 電子書 下載



具體描述

內容簡介

  本書由美國加州大學伯剋利分校Jan M. Rabaey教授等人所著。全書共12章,分為三部分: 基本單元、電路設計和係統設計。本書在對MOS器件和連綫的特性做瞭簡要的介紹之後,深入分析瞭數字設計的核心――反相器,並逐步將這些知識延伸到組閤邏輯電路、時序邏輯電路、控製器、運算電路以及存儲器這些復雜數字電路與係統的設計中。為瞭反映數字集成電路設計進入深亞微米領域後正在發生的深刻變化,本書以CMOS工藝的實際電路為例,討論瞭深亞微米器件效應、電路*優化、互連綫建模和優化、信號完整性、時序分析、時鍾分配、高性能和低功耗設計、設計驗證、芯片測試和可測性設計等主題,著重探討瞭深亞微米數字集成電路設計所麵臨的挑戰和啓示。

作者簡介

  Jan M. Rabaey教授,為美國加州大學伯剋利分校電氣工程教授,Anantha Chandrakasan為麻省理工學院教授,本書是其多年教學經驗的總結。

目錄

第一部分 基 本 單 元
第1章 引論
1.1 曆史迴顧
1.2 數字集成電路設計中的問題
1.3 數字設計的質量評價
1.3.1 集成電路的成本
1.3.2 功能性和穩定性
1.3.3 性能
1.3.4 功耗和能耗
1.4 小結
1.5 進一步探討
期刊和會議論文集
參考書目
參考文獻
習題
第2章 製造工藝
2.1 引言
2.2 CMOS集成電路的製造
2.2.1 矽圓片
2.2.3 一些重復進行的工藝步驟
2.2.4 簡化的CMOS工藝流程
2.3 設計規則――設計者和工藝工程師之間的橋梁
2.4 集成電路封裝
2.4.1 封裝材料
2.4.2 互連層
2.4.3 封裝中的熱學問題
2.5 綜述: 工藝技術的發展趨勢
2.5.1 近期進展
2.5.2 遠期展望
2.6 小結
2.7 進一步探討
參考文獻
設計方法插入說明A――IC版圖
參考文獻
第3章 器件
3.1 引言
3.2 二極管
3.2.1 二極管簡介――耗盡區
3.2.2 靜態特性
3.2.3 動態或瞬態特性
3.2.4 實際的二極管――二次效應
3.2.5 二極管SPICE模型
3.3 MOS(FET)晶體管
3.3.1 MOS晶體管簡介
3.3.2 靜態情況下的MOS晶體管
3.3.3 實際的MOS晶體管――一些二階效應
3.3.4 MOS管的SPICE模型
3.4 關於工藝偏差
3.5 綜述: 工藝尺寸縮小
3.6 小結
3.7 進一步探討
參考文獻
習題
設計方法插入說明B――電路模擬
進一步探討
參考文獻
第4章 導綫
4.1 引言
4.2 簡介
4.3 互連參數――電容、電阻和電感
4.3.1 電容
4.3.2 電阻
4.3.3 電感
4.4 導綫模型
4.4.1 理想導綫
4.4.2 集總模型(Lumped Model)
4.4.3 集總RC模型
4.4.4 分布rc綫
4.4.5 傳輸綫
4.5 導綫的SPICE模型
4.5.1 分布rc綫的SPICE模型
4.5.2 傳輸綫的SPICE模型
4.5.3 綜述: 展望未來
4.6 小結
4.7 進一步探討
參考文獻
第二部分 電 路 設 計
第5章 CMOS反相器
5.1 引言
5.2 靜態CMOS反相器――直觀綜述
5.3 CMOS反相器穩定性的評估――靜態特性
5.3.1 開關閾值
5.3.2 噪聲容限
5.3.3 再談穩定性
5.4 CMOS反相器的性能: 動態特性
5.4.1 計算電容值
5.4.2 傳播延時: 一階分析
5.4.3 從設計角度考慮傳播延時
5.5 功耗、能量和能量延時
5.5.1 動態功耗
5.5.2 靜態功耗
5.5.3 綜閤考慮
5.5.4 利用SPICE分析功耗
5.6 綜述: 工藝尺寸縮小及其對反相器衡量指標的影響
5.7 小結
5.8 進一步探討
參考文獻
習題
第6章 CMOS組閤邏輯門的設計
6.1 引言
6.2 靜態CMOS設計
6.2.1 互補CMOS
6.2.2 有比邏輯
6.2.3 傳輸管邏輯
6.3 動態CMOS設計
6.3.1 動態邏輯: 基本原理
6.3.2 動態邏輯的速度和功耗
6.3.3 動態設計中的信號完整性問題
6.3.4 串聯動態門
6.4 設計綜述
6.4.1 如何選擇邏輯類型
6.4.2 低電源電壓的邏輯設計
6.5 小結
6.6 進一步探討
參考文獻
習題
設計方法插入說明C――如何模擬復雜的邏輯電路
參考文獻
設計方法插入說明D――復閤門的版圖技術
進一步探討
第7章 時序邏輯電路設計
7.1 引言
7.1.1 時序電路的時間參數
7.1.2 存儲單元的分類
7.2 靜態鎖存器和寄存器
7.2.1 雙穩態原理
7.2.2 多路開關型鎖存器
7.2.3 主從邊沿觸發寄存器
7.2.4 低電壓靜態鎖存器
7.2.5 靜態SR觸發器――用強信號直接寫數據
7.3 動態鎖存器和寄存器
7.3.1 動態傳輸門邊沿觸發寄存器
7.3.2 C2MOS――一種對時鍾偏差不敏感的方法
7.3.3 真單相鍾控寄存器(TSPCR)
7.4 其他寄存器類型*
7.4.1 脈衝寄存器
7.4.2 靈敏放大器型寄存器
7.5 流水綫: 優化時序電路的一種方法
7.5.1 鎖存型流水綫與寄存型流水綫
7.5.2 NORA?CMOS――流水綫結構的一種邏輯形式
7.6 非雙穩時序電路
7.6.1 施密特觸發器
7.6.2 單穩時序電路
7.6.3 不穩電路
7.7 綜述: 時鍾策略的選擇
7.8 小結
7.9 進一步探討
參考文獻
第三部分 係 統 設 計
第8章 數字集成電路的實現策略
8.1 引言
8.2 從定製到半定製以及結構化陣列的設計方法
8.3 定製電路設計
8.4 以單元為基礎的設計方法
8.4.1 標準單元
8.4.2 編譯單元
8.4.3 宏單元、巨單元和專利模塊
8.4.4 半定製設計流程
8.5 以陣列為基礎的實現方法
8.5.1 預擴散(或掩模編程)陣列
8.5.2 預布綫陣列
8.6 綜述: 未來的實現平颱
8.7 小結
8.8 進一步探討
參考文獻
習題
設計方法插入說明E――邏輯單元和時序單元的特性描述
參考文獻
設計方法插入說明F――設計綜閤
進一步探討
參考文獻
第9章 互連問題
9.1 引言
9.2 電容寄生效應
9.2.1 電容和可靠性――串擾
9.2.2 電容和CMOS電路性能
9.3 電阻寄生效應
9.3.1 電阻與可靠性――歐姆電壓降
9.3.2 電遷移
9.3.3 電阻和性能――RC延時
9.4 電感寄生效應*
9.4.1 電感和可靠性――Ldidt電壓降
9.4.2 電感和性能――傳輸綫效應
9.5 高級互連技術
9.5.1 降擺幅電路
9.5.2 電流型傳輸技術
9.6 綜述: 片上網絡
9.7 小結
9.8 進一步探討
參考文獻
習題
第10章 數字電路中的時序問題
10.1 引言
10.2 數字係統的時序分類
10.2.1 同步互連
10.2.2 中等同步互連
10.2.3 近似同步互連
10.2.4 異步互連
10.3 同步設計――一個深入的考察
10.3.1 同步時序原理
10.3.2 偏差和抖動的來源
10.3.3 時鍾分布技術
10.3.4 鎖存式時鍾控製*
10.4 自定時電路設計*
10.4.1 自定時邏輯――一種異步技術
10.4.2 完成信號的産生
10.4.3 自定時的信號發送
10.4.4 自定時邏輯的實例
10.5 同步器和判斷器*
10.5.1 同步器――概念與實現
10.5.2 判斷器
10.6 采用鎖相環進行時鍾綜閤和同步*
10.6.1 基本概念
10.6.2 PLL的組成功能塊
10.7 綜述: 未來方嚮和展望
10.7.1 采用延時鎖定環(DLL)分布時鍾
10.7.2 光時鍾分布
10.7.3 同步與非同步設計
10.8 小結
10.9 進一步探討
參考文獻
習題
設計方法插入說明G――設計驗證
參考文獻
第11章 設計運算功能塊
11.1 引言
11.2 數字處理器結構中的數據通路
11.3 加法器
11.3.1 二進製加法器: 定義
11.3.2 全加器: 電路設計考慮
11.3.3 二進製加法器: 邏輯設計考慮
11.4 乘法器
11.4.1 乘法器: 定義
11.4.2 部分積的産生
11.4.3 部分積的纍加
11.4.4 最終相加
11.4.5 乘法器小結
11.5 移位器
11.5.1 桶形移位器
11.5.2 對數移位器
11.6 其他運算器
11.7 數據通路結構中對功耗和速度的綜閤考慮*
11.7.1 在設計時間可采用的降低功耗技術
11.7.2 運行時間的功耗管理
11.7.3 降低待機(或休眠)模式中的功耗
11.8 綜述: 設計中的綜閤考慮
11.9 小結
11.10 進一步探討
參考文獻
習題
第12章 存儲器和陣列結構設計
12.1 引言
12.1.1 存儲器分類
12.1.2 存儲器總體結構和單元模塊
12.2 存儲器內核
12.2.1 隻讀存儲器
12.2.2 非易失性讀寫存儲器
12.2.3 讀寫存儲器(RAM)
12.2.4 按內容尋址或相聯存儲器(CAM)
12.3 存儲器外圍電路*
12.3.1 地址譯碼器
12.3.2 靈敏放大器
12.3.3 參考電壓
12.3.4 驅動器/緩衝器
12.3.5 時序和控製
12.4 存儲器的可靠性及成品率*
12.4.1 信噪比
12.4.2 存儲器成品率
12.5 存儲器中的功耗*
12.5.1 存儲器中功耗的來源
12.5.2 存儲器的分割
12.5.3 降低工作功耗
12.5.4 降低數據維持功耗
12.5.5 小結
12.6 存儲器設計的實例研究
12.6.1 可編程邏輯陣列
12.6.2 4 Mb SRAM
12.6.3 1 Gb NAND Flash存儲器
12.7 綜述: 半導體存儲器的發展趨勢與進展
12.8 小結
12.9 進一步探討
參考文獻
習題
設計方法插入說明H――製造電路的驗證和測試
H.3.1 可測性設計中的問題
H.3.2 專門測試
H.3.3 掃描測試
H.3.4 邊界掃描設計
H.3.5 內建自測試
H.4.1 故障模型
H.4.2 測試圖形的自動生成
H.4.3 故障模擬
參考文獻
思考題答案

前言/序言

  本書特色
  歡迎使用本書。在本書第一版齣版後的6年中,數字集成電路領域已有瞭某些驚人的進展和變化。IC製造工藝繼續縮小到空前小的尺寸。自寫作這本書的第一版以來,最小特徵尺寸縮小到接近1/10,現在已接近100 nm的範圍。這種尺寸的縮小對數字集成電路的設計産生瞭兩方麵的影響。首先,在單片上能設計的復雜性大大提高,為瞭應對這一挑戰,産生瞭一些新的設計方法和實現策略。與此同時,在尺寸小到深亞微米範圍後器件的行為特性發生瞭變化,從而把一係列影響數字IC的可靠性、成本、性能以及功耗的新問題提到瞭麵前。對這些問題的深入討論是本書第二版與第一版之間的區彆所在。
  看一下目錄就可以知道本版擴大瞭內容範圍,包括深亞微米器件、電路優化、互連模型和優化、信號完整性、時鍾和時序以及功耗。所有這些內容都用目前最新的設計例子來說明。同時,鑒於MOS現已占有數字IC領域99%的市場份額,我們刪去瞭像矽雙極型和砷化鎵這樣較陳舊的內容,不過對此有興趣的讀者仍可通過本書配套網站找到有關這些技術的內容(首次使用的讀者需先申請密碼)。為瞭強調現今設計過程中方法學的重要性,我們貫穿全書增加瞭“設計方法插入說明”,每一插入部分著重說明設計過程中特有的一些問題。新版對原書做瞭重要修訂,最大的變化是增加瞭兩個閤著者——Anantha和Borivoje,他們為本書帶來瞭有關數字IC設計方麵更寬闊的見地,以及有關此領域的最新趨勢和挑戰。
  保留瞭第一版的基本精神
  在進行這些修改的同時,我們一直力圖保留第一版的基本精神和編寫目的——在數字設計中建立起電路設計和係統設計之間的橋梁。我們從徹底弄清電子器件的操作並深入分析數字設計的核心(反相器)開始,逐步將這些知識引嚮設計比較復雜的模塊,如邏輯門、寄存器、控製器、加法器、乘法器以及存儲器。我們認識到當今復雜電路設計者共同麵臨的感興趣的問題是: 起決定作用的設計參數是什麼?設計的哪些部分需要著重考慮而哪些細節又可以忽略?顯然,簡化是處理日益復雜的數字係統的唯一途徑,但是過度簡化由於忽略瞭像時序、互連以及功耗這樣一些影響整個電路的效應,又可能導緻電路不能工作。為瞭避免這一點,在進行數字電路設計時一定要同時注意電路和係統兩方麵的問題。這就是本書所采用的方法,通過分析技術和實驗技術為讀者帶來處理復雜問題所需要的知識和技能。
  閱讀指南
  本書的核心部分是為大學高年級數字電路設計課程編寫的。圍繞這一核心,還納入瞭一些涵蓋更前沿專題的章節。在編寫本書的過程中,我們發現很難確定應當包括數字電路設計領域的哪些部分纔能滿足所有人的需要。一方麵,剛剛進入該領域的人希望有關於基本概念的詳盡內容; 另一方麵,來自原有讀者和評閱人的反饋意見又錶明希望並需要在深度和廣度上增加高層次的前沿專題和當前所提齣的問題。提供這樣一個全麵的討論造成瞭這本教材的內容大大超齣一學期課程的需要,因此其中較為高深的部分可作為研究生課程的基礎。由於本書涉及麵廣泛且包含最新的前沿內容,也使它成為對專業工程師非常有用的參考書。這裏我們假定上這門課的學生對基本的邏輯設計已相當熟悉。
  本書在內容的安排上使各章節可以按許多不同的方式來講授和閱讀,隻需遵守一些前後順序關係即可。本書的核心部分由第5章~第8章構成。第1章~第4章可以看成導論。為瞭滿足一般要求,在第2章中引入瞭有關半導體製造方麵的簡短論述。曾經學過半導體器件的學生可以很快地瀏覽一下第3章。我們十分希望每個人至少都這樣做一遍,因為一些重要符號和基礎知識都在該章中介紹。此外該章還介紹瞭一種能用來進行手工分析的深亞微米晶體管最原始的建模方法。為瞭強調互連在當今數字設計中的重要性,我們將互連建模部分提前到本書的第4章。
  第9章~第12章的內容較深,可作為某些課程的重點。例如,側重電路方麵的課程可增加第9章和第12章的核心材料,側重數字係統設計的課程則應考慮增加第9章、第10章和第11章的(部分)內容。所有這些內容較深的章節都可以作為研究生課程或後續課程的核心。內容較深的章節在書中都標注有*號。
  對於本科高年級的課程,下麵列齣瞭幾種可能的教學安排順序。本書配套網站所提供的教師文檔(instructor documentation)中還列齣瞭某些大學相關課程采用的完整教學大綱中列齣的章節號。
  電路基礎課程(針對器件方麵知識較少的學生):
  1,2.1~2.3,3,4,5,6,7,8,(9.1~9.3,12)。
  稍高級一些的電路課程:
  1,(2,3),4,5,6,7,8,9,10.1~10.3,10.5~10.6,12。
  係統方嚮的課程:
  1,(2,3),4,5,6,7,8,9,10.1~10.4,11,12.1~12.2。
  “設計方法插入說明”部分可與它們所在章同時選用。
  為瞭保持全書風格一緻,各章首先介紹本章主題,接著對概念進行詳細深入的討論。綜述一節討論本章介紹的概念與實際設計之間的關係,以及它們如何會受到未來發展的影響。每一章以小結作為結束,它簡要列舉瞭教材中講過的各個主題。小結後麵的進一步探討。


數字集成電路 電路、係統與設計(第二版) [Digital Integrated Circuits: A Design Perspective,] 下載 mobi epub pdf txt 電子書

數字集成電路 電路、係統與設計(第二版) [Digital Integrated Circuits: A Design Perspective,] pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

滿意,值得購買,還是不錯的。

評分

難道就不能不打字的嗎。。

評分

一般一般一般一般一般一般一般

評分

學習電路闆最佳書籍,京東物流也很快

評分

書不錯!買來學習

評分

書包裝的很好 沒有破損 就是看不懂

評分

很好很好的很好商品,推薦購買

評分

難道就不能不打字的嗎。。

評分

價格還是略貴瞭,不過作為外國的書沒辦法瞭

類似圖書 點擊查看全場最低價

數字集成電路 電路、係統與設計(第二版) [Digital Integrated Circuits: A Design Perspective,] pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


數字集成電路 電路、係統與設計(第二版) [Digital Integrated Circuits: A Design Perspective,] bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有