超大規模集成電路係統導論:邏輯、電路與係統設計 pdf epub mobi txt 電子書 下載 2024

圖書介紹


超大規模集成電路係統導論:邏輯、電路與係統設計

簡體網頁||繁體網頁
Ming-Bo Lin(林銘波) 著,劉艷艷 等 譯



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-04-30


類似圖書 點擊查看全場最低價

齣版社: 電子工業齣版社
ISBN:9787121265976
版次:1
商品編碼:11748987
包裝:平裝
叢書名: 經典譯叢·微電子學
開本:16開
齣版時間:2015-07-01
用紙:膠版紙
頁數:701
字數:1263000
正文語種:中文

超大規模集成電路係統導論:邏輯、電路與係統設計 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



超大規模集成電路係統導論:邏輯、電路與係統設計 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

超大規模集成電路係統導論:邏輯、電路與係統設計 pdf epub mobi txt 電子書 下載



具體描述

內容簡介

  《超大規模集成電路係統導論:邏輯、電路與係統設計》對超大規模集成電路與係統的分析和設計進行瞭全麵介紹。從電路與版圖設計基礎知識齣發,再逐步深入,對超大規模集成電路設計進行瞭詳盡闡述。《超大規模集成電路係統導論:邏輯、電路與係統設計》由淺入深,理論聯係實際,同時提供瞭大量的圖錶和設計實例。
  《超大規模集成電路係統導論:邏輯、電路與係統設計》共16章。第1章至第6章主要介紹層次化IC設計、標準CMOS邏輯設計、金屬一氧化物一半導體(M0S)晶體管的物理學原理、器件製造、物理版圖、電路仿真、功耗和低功耗設計規則及技巧。第7章至第9章介紹瞭靜態邏輯和動態邏輯以及時序邏輯。第10章至第16章以及附錄部分關注係統設計,主要包括數據通路子係統設計、存儲器模塊、設計方法和實現方式、互連綫、電源分布與時鍾設計、輸入/輸齣模塊、ESD保護網絡以及測試和可測性設計等內容。
  《超大規模集成電路係統導論:邏輯、電路與係統設計》內容豐富,自成體係,既可作為高等院校電子科學與技術、集成電路工程等專業高年級本科生和研究生教材,也可供從事相關領域研究工作的工程技術人員自學和參考。

作者簡介

  Ming-Bo Lin(林銘波)博士,颱灣科技大學電子工程係教授。曾就讀於颱灣大學和美國馬裏蘭大學。已經齣版瞭26本教材(包括修訂)和兩個英語教材。

內頁插圖

目錄

第1章 緒論
1.1 VLSI簡介
1.1.1 簡介
1.1.2 VLSI電路的基本特徵
1.1.3 VLSI電路設計中存在的問題
1.1.4 VLSI經濟學
1.2 開關MOS晶體管
1.2.1 nMOS晶體管
1.2.2 pMOS晶體管
1.2.3 CMOS傳輸門
1.2.4 簡單開關邏輯設計
1.2.5 CMOS邏輯設計規則
1.3 VLSI設計與製造
1.3.1 設計技術
1.3.2 單元設計
1.3.3 CMOS工藝
1.3.4 CMOS版圖
1.3.5 版圖設計規則
1.4 數字係統的實現方法
1.4.1 未來趨勢
1.4.2 實現方式
1.5 小結
參考文獻
習題

第2章 MOS晶體管基礎
2.1 半導體基礎
2.1.1 本徵半導體
2.1.2 非本徵半導體
2.1.3 載流子輸運過程
2.2 pn結
2.2.1 pn結
2.2.2 金屬半導體結
2.3 MOS晶體管理論
2.3.1 MOS係統
2.3.2 MOS晶體管工作原理
2.3.3 MOS晶體管的I-V特性
2.3.4 按比例縮小理論
2.4 MOS晶體管的高級特性
2.4.1 MOS晶體管的非理想特性
2.4.2 閾值電壓效應
2.4.3 泄漏電流
2.4.4 短溝道I-V特性
2.4.5 溫度效應
2.4.6 MOS晶體管的限製
2.5 SPICE和建模
2.5.1 SPICE簡介
2.5.2 二極管模型
2.5.3 MOS晶體管模型
2.6 小結
參考文獻
習題

第3章 CMOS集成電路製造
3.1 基本工藝
3.1.1 熱氧化
3.1.2 摻雜工藝
3.1.3 光刻
3.1.4 薄膜去除
3.1.5 薄膜澱積
3.2 各種材料及其應用
3.2.1 絕緣體
3.2.2 半導體
3.2.3 導體
3.3 工藝集成
3.3.1 FEOL
3.3.2 BEOL
3.3.3 後端工藝
3.4 先進CMOS工藝和器件
3.4.1 先進CMOS工藝器件
3.4.2 先進CMOS工藝
3.5 小結
參考文獻
習題

第4章 版圖設計
4.1 版圖設計規則
4.1.1 版圖設計的基本概念
4.1.2 基本結構的版圖
4.1.3 高級版圖設計討論
4.1.4 相關CAD工具
4.2 CMOS閂鎖及其預防
4.2.1 CMOS閂鎖
4.2.2 閂鎖的預防
4.3 版圖設計
4.3.1 單元概念
4.3.2 基本版圖設計
4.4 復雜邏輯門的版圖設計方法
4.4.1 源/漏共享
4.4.2 歐拉路徑法
4.4.3 版圖設計小結
4.5 小結
參考文獻
習題

第5章 延遲模型和路徑延遲優化
5.1 MOS晶體管的電阻和電容
5.1.1 MOS晶體管的電阻
5.1.2 MOS晶體管的電容
5.2 傳輸延遲與延遲模型
5.2.1 電壓電平與噪聲容限
5.2.2 與時序相關的基本術語
5.2.3 傳輸延遲
5.2.4 單元延遲模型
5.2.5 Elmore延遲模型
5.3 路徑延遲優化
5.3.1 驅動較大容性負載
5.3.2 路徑延遲優化
5.3.3 邏輯功效和路徑延遲優化
5.4 小結
參考文獻
習題

第6章 功耗與低功耗設計
6.1 功耗
6.1.1 功耗的組成部分
6.1.2 動態功耗
6.1.3 設計裕度
6.1.4 確定導綫寬度
6.2 低功耗邏輯設計原則
6.2.1 基本原則
6.2.2 降低電壓擺幅
6.2.3 減少轉換操作
6.2.4 減小開關電容
6.3 低功耗邏輯架構
6.3.1 流水綫技術
6.3.2 並行處理技術
6.4 功率管理
6.4.1 基本技術
6.4.2 動態功率管理
6.5 小結
參考文獻
習題

第7章 靜態邏輯電路
7.1 基本靜態邏輯電路
7.1.1 靜態邏輯電路的類型
7.1.2 CMOS反相器
7.1.3 與非門
7.1.4 或非門
7.1.5 基本門尺寸
7.2 單軌邏輯電路
7.2.1 CMOS邏輯電路
7.2.2 基於TG的邏輯電路
7.2.3 有比邏輯電路
7.3 雙軌邏輯電路
7.3.1 共源共柵電壓開關邏輯(CVSL)
7.3.2 互補傳輸晶體管邏輯(CPL)
……
第8章 動態邏輯電路
第9章 時序邏輯設計
第10章 數據通路設計
第11章 存儲器
第12章 設計方法和實現方式
第13章 互連綫
第14章 電源分布和時鍾設計
第15章 輸入/輸齣模塊和ESD保護網絡
第16章 測試、驗證和可測性設計
附錄A
術語錶

前言/序言


超大規模集成電路係統導論:邏輯、電路與係統設計 下載 mobi epub pdf txt 電子書

超大規模集成電路係統導論:邏輯、電路與係統設計 pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

超大規模集成電路係統導論:邏輯、電路與係統設計 pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


超大規模集成電路係統導論:邏輯、電路與係統設計 bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有