電子設計實用教程 pdf epub mobi txt 電子書 下載 2024

圖書介紹


電子設計實用教程

簡體網頁||繁體網頁
深圳信盈達電子有限公司 著



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-19


類似圖書 點擊查看全場最低價

店鋪: 北京愛讀者圖書專營店
齣版社: 電子工業齣版社
ISBN:9787121230325
商品編碼:29487847976
包裝:平裝
齣版時間:2014-09-01

電子設計實用教程 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



電子設計實用教程 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

電子設計實用教程 pdf epub mobi txt 電子書 下載



具體描述

基本信息

書名:電子設計實用教程

定價:38.00元

作者:深圳信盈達電子有限公司

齣版社:電子工業齣版社

齣版日期:2014-09-01

ISBN:9787121230325

字數:

頁碼:

版次:1

裝幀:平裝

開本:16開

商品重量:0.4kg

編輯推薦


內容提要


本書以模擬電子技術和數字電子技術為基礎,全麵、係統地介紹瞭電子技術的基礎知識和基本技術,將基礎理論與實際應用緊密結閤,注重體現知識的實用性和前沿性。全書共19章,分5個部分,分彆為電子基礎知識(~3章)、數字電路(第4~9章)、模擬電路(0~16章)、實驗與設計(7~18章)和項目實踐(9章)。主要內容包括:常用電子元器件和常用芯片的介紹、常用電路定理公式、門電路及組閤邏輯電路、電觸發器、時序邏輯電路、數/模和模/數轉換、半導體器件、放大電路基礎、振蕩電路、集成運算放大器、直流電源等。

目錄


目錄

部分電 子 基 礎

章元器件及芯片基礎

1.1常用電子元器件介紹

1.1.1電阻

1.1.2電容

1.1.3電感器

1.1.4發光二極管

1.1.5二極管

1.1.6三極管

1.1.7蜂鳴器

1.1.8繼電器

1.1.9光耦

1.1.10晶振

1.1.11其他類元器件

1.1.12集成電路(集成芯片)

1.2常用芯片介紹

1.2.1門型芯片(與門、或門、非門、與或非門)

1.2.2鎖存類芯片(74LS373\74LS374)

1.2.3放大類芯片ULN2003

1.2.4譯碼類芯片74LS138

1.2.5串入並齣類芯片74LS164

1.2.6驅動類芯片(74LS245\74LS244)

1.2.7電平轉換類芯片MAX232

1.2.8穩壓類芯片(7805\LM2576)

1.2.9存儲類芯片 AT24C02

1.2.10單片機類芯片 STC89C51

習題

第2章常用電路定理及分析

2.1常用基本電路定理

2.2電路分析案例

2.3電路分析案例2

第3章常用電路設計軟件介紹

3.1電子綫路的計算機輔助分析軟件Multisim、Proteus

3.2常用印製綫路闆設計軟件介紹

第二部分數 字 電 路

第4章模擬信號和數字信號介紹

4.1模擬信號

4.2數字信號

4.3基本邏輯運算

4.3.1與運算

4.3.2或運算

4.3.3非運算

4.3.4其他常用邏輯運算

4.4邏輯函數及其錶示方法

4.4.1邏輯函數的建立

4.4.2邏輯函數的錶示方法

4.4.3邏輯函數的錶示形式

4.5數製

第5章邏輯門電路(BJT、TTL、CMOS)

5.1數字集成電路簡介

5.2半導體三極管BJT

5.2.1二極管的開關特性

5.2.2BJT的結構簡介

5.3TTL邏輯門電路

5.3.1TTL電平信號介紹

5.3.2CMOS電路和TTL電路的區彆和優缺點

5.4CMOS邏輯門電路

5.5邏輯描述中的幾個問題

5.5.1正負邏輯問題

5.5.2邏輯門電路使用中的幾個實際問題

5.5.3抗乾擾措施

習題

第6章組閤邏輯電路的分析與設計

6.1組閤邏輯電路的分析

6.2組閤邏輯電路的設計

6.3卡諾圖

6.3.1卡諾圖的構成

6.3.2結構特點

6.3.3卡諾圖的性質

6.3.4邏輯函數在卡諾圖上的錶示

6.3.5卡諾圖上小項的閤並規律

6.3.6卡諾圖化簡邏輯函數

6.4編碼器

6.5譯碼器

6.6數據選擇器

6.7數據比較器

6.8加法器

習題

第7章鎖存器、觸發器與多諧振蕩器

7.1觸發器

7.1.1基本RS觸發器

7.1.2同步RS觸發器

7.1.3主從RS觸發器

7.1.4JK觸發器

7.1.5D觸發器

7.1.6T觸發器

習題

7.2時序邏輯電路

7.2.1時序邏輯電路概述

7.2.2同步時序邏輯電路的分析方法

7.2.3同步時序邏輯電路的設計步驟

7.3多諧振蕩器

7.4單穩態觸發器

7.5施密特觸發器

7.5.1用門電路組成的施密特觸發器

7.5.2施密特觸發器的應用

習題

第8章555定時器及其應用

8.1555定時器及其應用

8.2555定時器電路結構

8.3定時器應用實例

8.3.1用555定時器構成的施密特觸發器

8.3.2用555定時器構成的單穩態觸發器

8.3.3用555定時器接成的多諧振蕩器

8.4555定時器應用實例(參考)

第9章存儲器、數/模與模/數轉換器

9.1存儲器

9.1.1隻讀存儲器

9.1.2存取存儲器

習題

9.2數/模與模/數轉換器

9.2.1概念

9.2.2D/A轉換器DAC0832

9.2.3A/D轉換器ADC0809

第三部分模 擬 電 路

0章二極管

10.1半導體基礎知識

10.1.1本徵半導體

10.1.2雜質半導體

10.1.3PN結

10.1.4PN結的電容效應

10.2半導體二極管

10.2.1半導體二極管的幾種常見結構

10.2.2二極管的伏安特性

10.2.3二極管的主要參數

10.2.4穩壓二極管的主要參數

習題

1章三極管

11.1雙極型晶體管

11.1.1雙極型晶體管的結構及類型

11.1.2雙極型晶體管的組態

11.1.3雙極型晶體管的共射特性麯綫

11.1.4雙極型晶體管的主要參數

2章基本放大電路

12.1放大電路的基本概念

12.1.1基本放大電路的組成和工作原理

12.1.2放大電路的性能指標

12.1.3直流通路和交流通路

12.1.4靜態工作點的設置

12.2放大電路的分析方法

12.2.1圖解分析法

12.2.2小信號模型分析法

12.3放大電路靜態工作點的穩定

12.3.1靜態工作點穩定的必要性

12.3.2穩定靜態工作點的措施

12.3.3分壓式射極偏置電路的分析

12.4共集放大電路和共基極放大電路

12.4.1共集放大電路

12.4.2共基極放大電路

12.4.3三種組態電路的比較

習題

3章集成運算放大電路

13.1集成運算放大電路概述

13.2理想運算放大器

13.3基本運算電路

13.3.1比例運算電路

13.3.2加減運算電路

13.4濾波電路

13.4.1濾波電路的種類

13.4.2濾波器的幅頻特性

13.4.3低通濾波電路(LPF)

13.4.4高通濾波電路(HPF)

13.4.5帶通濾波器(BPF)和帶阻濾波器(BEF)

13.5波形發生與信號轉換電路

13.5.1正弦波振蕩電路

13.5.2電壓比較器

13.5.3非正弦波發生電路

13.6功率放大電路

13.6.1功率放大電路簡介

13.6.2功率放大器的分類

13.6.3性能指標

13.6.4互補對稱式乙類功率放大電路

13.6.5甲乙類雙電源互補對稱電路

13.6.6準互補對稱式功率放大電路

13.6.7單電源互補對稱式功率放大電路(OTL)

13.6.8自舉電路

13.6.9變壓器耦閤推挽功率放大電路

13.6.10集成功率放大電路簡介

本章小結

4章直流電源

14.1直流電源的組成及各部分的作用

14.2整流電路

14.2.1單相橋式整流電路

14.3濾波電路

14.3.1電容濾波電路

14.3.2電感濾波電路

14.4穩壓電路

14.4.1穩壓二極管穩壓電路

14.4.2串聯型穩壓電路

14.4.3串聯型穩壓電路的工作原理

14.4.4串聯型穩壓電路的方框圖

14.5三端穩壓器的應用

5章場效應管

15.1場效應管原理

15.1.1場效應管的分類

15.1.2場效應三極管的型號命名方法

15.1.3場效應管的參數

15.1.4場效應管的作用

15.1.5場效應管的測試

15.1.6常用場效用管

15.1.7場效應管與晶體管的比較

15.2CMOS邏輯門電路

15.2.1CMOS反相器

15.2.2工作原理

15.2.3電壓傳輸特性

15.2.4工作速度

15.2.5CMOS傳輸門工作原理

15.3場效應管放大電路

15.3.1場效應管的特點

15.3.2場效應管放大電路的三種組態電路

15.3.3場效應管放大電路的直流偏置電路及靜態分析

15.4場效應管放大電路的動態分析

習題

6章數字係統與模擬係統的設計方法

16.1數字係統的組成

16.2數字係統的設計方法

第四部分實驗與設計

7章實驗

17.1實驗一TTL與非門的參數和應用

17.1.1實驗目的

17.1.2所用器件

17.1.3實驗內容及步驟

17.2實驗二74LS373的參數和應用

17.2.1實驗目的

17.2.2所用器件

17.2.3實驗內容及步驟

17.3實驗三恒溫控製係統設計—原理圖

17.4實驗四直流12V穩壓到直流5V電路設計—原理圖

17.5實驗五單片機小係統設計—原理圖

17.6實驗六三極管組成的電動機控製電路

8章典型硬件電路設計分析

18.1信號隔離電路

18.2樓梯開關電路

18.3信號放大類電路

18.4信號隔離電路

18.5信號變換電路

18.6觸發控製電路

18.7升降壓電路

18.8A/D轉換和顯示電路

18.9OCL高保真功率放大電路

第五部分項 目 實 戰

9章項目管理

19.1項目管理知識

19.1.1項目定義

19.1.2項目三要素

19.1.3項目過程

19.1.4項目評估標準

19.2項目設計流程——多路綫性直流穩壓電源係統設計

19.2.1項目論證、可行性分析

19.2.2項目計劃書編製

19.2.3項目實施

19.2.4項目評審

19.2.5項目結束

參考文獻

作者介紹


文摘


序言



電子設計實用教程 下載 mobi epub pdf txt 電子書

電子設計實用教程 pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

電子設計實用教程 pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


電子設計實用教程 bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有