Verilog HDL數字係統設計原理與實踐 pdf epub mobi txt 電子書 下載 2024

圖書介紹


Verilog HDL數字係統設計原理與實踐

簡體網頁||繁體網頁
王建民 著



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-06-25


類似圖書 點擊查看全場最低價

齣版社: 機械工業齣版社
ISBN:9787111595823
版次:1
商品編碼:12365311
品牌:機工齣版
包裝:平裝
叢書名: 普通高等教育電氣信息類規劃教材
開本:16開
齣版時間:2018-06-01
用紙:膠版紙
頁數:350

Verilog HDL數字係統設計原理與實踐 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



Verilog HDL數字係統設計原理與實踐 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

Verilog HDL數字係統設計原理與實踐 pdf epub mobi txt 電子書 下載



具體描述

編輯推薦

適讀人群 :本書既可以作為電子科學與技術、集成電路設計相關專業本科、研究生數字集成電路前端設計教材,也可作為電子信息、電氣工程和自動化相關專業FPGA應用設計課程教材使用。
本書堅持“用語言、講設計、重實踐”的建設思路,打破傳統教材以介紹硬件描述語言語法或者軟件使用為重點的傳統,以數字電路結構為主綫安排教學內容,通過大量完整、規範的設計實例介紹基於Verilog HDL的寄存器傳輸級(Register Transfer Level,RTL)數字電路設計的基本概念和實現方法。

內容簡介

《Verilog HDL數字係統設計原理與實踐》從應用角度齣發,詳細介紹瞭利用硬件描述語言進行數字電路設計的基本原理、基本概念和設計方法,包括VerilogHDL語法基礎、組閤邏輯電路、規則時序邏輯電路、有限狀態機及數據通道設計,靜態時序分析及跨時鍾域數據傳輸的基本概念、設計方法及應用。全書通過大量、完整、規範的設計實例演示各類數字電路的設計過程和描述方法。每章配有習題,以指導讀者深入地進行學習。本書既可以作為電子科學與技術、集成電路設計相關專業本科、研究生數字集成電路前端設計教材,也可作為電子信息、電氣工程和自動化相關專業FPGA應用設計課程教材使用。

目錄

目錄
前言
第1章數字係統設計概述
1.1引言
1.2模擬電路和數字電路
1.2.1模擬信號和數字信號
1.2.2模數轉換
1.2.3模擬電路和數字電路
1.3數字電路設計
1.3.1數字電路與係統
1.3.2數字電路設計流程
1.4硬件描述語言
1.5習題和思考題
第2章數字電路基礎
2.1變量和函數
2.2基本邏輯關係
2.2.1邏輯與
2.2.2邏輯或
2.2.3邏輯反
2.3邏輯門和數字電路
2.3.1晶體管
2.3.2邏輯門
2.3.3邏輯電路的錶示
2.4布爾代數和卡諾圖
2.4.1布爾代數
2.4.2最小項的定義及其性質
2.4.3卡諾圖法化簡邏輯函數
2.5CMOS邏輯門電路
2.5.1NMOS邏輯門
2.5.2CMOS邏輯門
2.6設計實現
2.6.1標準芯片
2.6.2可編程邏輯器件
2.6.3全定製芯片、標準單元和門陣列
2.7習題和思考題
第3章Verilog HDL硬件描述語言
3.1基本概念
3.1.1模塊
3.1.2空白和注釋
3.1.3關鍵字
3.1.4標識符
3.2數據類型
3.2.1四值邏輯係統
3.2.2綫網和變量
3.2.3有符號和無符號數
3.3層次化設計
3.3.1設計方法學
3.3.2模塊實例
3.3.3端口連接規則
3.4門級描述
3.4.1多輸入門
3.4.2多輸齣門
3.4.3三態門
3.4.4門陣列實例
3.5任務和函數
3.5.1任務
3.5.2函數
3.5.3任務和函數的區彆
3.5.4設計實例:格雷碼計數器
3.6可重用設計
3.6.1宏定義
3.6.2條件編譯
3.6.3參數
3.7習題和思考題
第4章組閤邏輯電路設計
4.1組閤邏輯電路
4.2連續賦值語句
4.3組閤邏輯always塊
4.4Verilog HDL操作符
4.4.1錶達式
4.4.2操作數
4.4.3操作符
4.4.4操作符優先級
4.5if語句
4.5.1基本語法
4.5.2設計實例
4.6case語句
4.6.1基本語法
4.6.2設計實例
4.7決策樹
4.7.1full case和parallel case
4.7.2優先結構路由網絡
4.7.3並列結構路由網絡
4.8組閤邏輯電路設計實例
4.8.1有符號加法器
4.8.2移位器
4.8.3三態邏輯
4.8.4浮點數加法器
4.8.5組閤邏輯乘法器
4.9設計優化
4.9.1操作符共享
4.9.2布局相關的電路
4.9.3功能共享
4.10組閤邏輯電路的設計要點
4.10.1組閤邏輯電路設計的常見錯誤
4.10.2組閤邏輯電路設計規則
4.11組閤邏輯電路Testbench
4.11.1仿真邏輯的構成
4.11.2組閤邏輯電路Testbench實例
4.12習題和思考題
第5章規則時序邏輯電路設計
5.1時序邏輯電路
5.1.1時序邏輯電路結構及工作過程
5.1.2時序邏輯電路的描述
5.2基本存儲元件
5.2.1D鎖存器
5.2.2D觸發器
5.2.3寄存器和寄存器文件
5.3規則時序邏輯電路設計實例
5.3.1計數器
5.3.2移位寄存器
5.3.3綫性反饋移位寄存器
5.3.4同步 FIFO
5.4循環語句
5.4.1for循環語句
5.4.2while語句
5.5生成語句
5.5.1循環生成語句
5.5.2條件生成語句
5.5.3case生成語句
5.6時序邏輯電路Testbench
5.7設計陷阱
5.7.1阻塞賦值和非阻塞賦值
5.7.2組閤邏輯環
5.7.3異步信號的誤用
5.7.4門控時鍾的誤用
5.7.5導齣時鍾的使用
5.8習題和思考題
第6章有限狀態機設計原理
6.1有限狀態機
6.1.1米利狀態機和摩爾狀態機
6.1.2邊沿檢測電路
6.1.3米利狀態機和摩爾狀態機的比較
6.2狀態轉換圖和算法狀態機圖
6.2.1狀態轉換圖
6.2.2算法狀態機圖
6.3有限狀態機的時序
6.4狀態賦值
6.4.1未用狀態的處理
6.4.2狀態賦值對電路的影響
6.4.3超前輸齣電路
6.5有限狀態機的實現
6.5.1代碼風格
6.5.2Verilog HDL狀態賦值
6.5.3兩段式always塊
6.5.4多段式always塊
6.5.5一段式always塊
6.6設計實例
6.6.1序列檢測器
6.6.2鍵盤掃描電路
6.6.3仲裁電路
6.6.4BCD碼餘3碼轉換電路
6.7習題和思考題
第7章有限狀態機設計實踐
7.1軌道車控製器
7.1.1問題描述
7.1.2軌道車運行方嚮輸齣信號
7.1.3開關位置輸齣信號
7.1.4傳感器輸入信號
7.1.5設計實現
7.2飛機起落架控製器
7.2.1問題描述
7.2.2設計實現
7.3存儲器控製器
7.3.1SRAM讀寫時序
7.3.2SRAM控製器數據通道
7.3.3SRAM控製器控製通道
7.4通用異步收發器UART
7.4.1接收模塊
7.4.2發送模塊
7.5習題和思考題
第8章時序分析基礎
8.1組閤邏輯電路的傳播延遲
8.1.1組閤邏輯電路傳播延遲的定義
8.1.2傳播延遲産生的後果
8.1.3傳播延遲的計算
8.2時序邏輯電路的傳播延遲
8.2.1引腳到引腳延遲路徑
8.2.2輸入到寄存器數據輸入延遲路徑
8.2.3時鍾到輸齣延遲路徑
8.2.4寄存器到寄存器延遲路徑
8.2.5時序邏輯電路的最高工作頻率
8.2.6建立時間和保持時間的調整
8.3提高電路的最高工作頻率
8.4調整電路的建立時間和保持時間
8.5同步電路的時序分析方法
8.5.1建立時間和最高工作頻率
8.5.2保持時間
8.5.3輸齣相關的時序參數
8.5.4輸入相關的時序參數
8.6帶有時鍾偏斜情況的時序分析
8.6.1時鍾偏斜對同步設計的影響
8.6.2時鍾偏斜對於建立時間和最高時鍾頻率的影響
8.6.3時鍾偏斜對保持時間約束的影響
8.7習題和思考題
第9章數據通道設計原理
9.1數據通道
9.2寄存器傳輸級設計
9.2.1算法
9.2.2數據流模型
9.2.3寄存器傳輸級設計
9.3FSMD設計原理
9.3.1寄存器傳輸操作
9.3.2數據通道
9.3.3控製通道
9.4FSMD設計
9.4.1ASMD圖
9.

前言/序言

前言
近年來,硬件描述語言(Hardware Description Language)逐漸取代傳統的設計方法,成為數字電路設計的主流方法。本書堅持“用語言、講設計、重實踐”的建設思路,打破傳統教材以介紹硬件描述語言語法或者軟件使用為重點的傳統,以數字電路結構為主綫安排教學內容,通過大量完整、規範的設計實例介紹基於Verilog HDL的寄存器傳輸級(Register Transfer Level,RTL)數字電路設計的基本概念和實現方法。
《Verilog HDL數字係統設計原理與實踐》從Verilog HDL基礎語法講起,由淺入深,係統地介紹組閤邏輯電路設計、規則時序邏輯電路、有限狀態機、有限狀態機+數據通道、靜態時序分析和跨時鍾域設計的基本概念和實現方法;討論瞭數字電路結構與麵積、速度關係和優化方法及Verilog HDL實現方式,內容涵蓋數字電路(前端)設計的全部內容。書中對於數字電路基本原理和設計方法的描述,全部是通過難易程度不同的設計實例演示,大部分設計實例通過簡單擴展可以直接應用於具體設計,具有很好的參考價值。《Verilog HDL數字係統設計原理與實踐》可以作為電子科學與技術、集成電路設計相關專業本科、研究生數字集成電路前端設計基礎教材使用,也可作為電子信息、電氣工程和自動化相關專業教授FPGA應用設計課程教材使用。對於有經驗的數字電路設計工程師也會有一定的參考價值。
書中全部設計實例在Quartus II 13��0和ModelSim 10��2軟件環境下編譯通過,授課教師在教學過程中可酌情考慮取捨。建議授課學時:48學時;實驗學時:16學時。授課教師可以通過wjmfuzzy@126�眂om郵箱,申請本教材配套的CAI課件、習題答案、實驗指導書及實驗源代碼。由於綜閤軟件限製,本書元器件符號采用ANSI/IEEE標準,與國際符號的對照錶見附錄B。
研究生蘭風宇、崔新瑩和姚博文校對瞭部分書稿和代碼,李曉和李喆繪製瞭部分插圖,在此錶示真誠的感謝。感謝機械工業齣版社時靜編輯在《Verilog HDL數字係統設計原理與實踐》齣版過程中給予的無私幫助。
由於時間倉促,書中難免存在不妥之處,請讀者原諒,並提齣寶貴意見。
作者
Verilog HDL數字係統設計原理與實踐 下載 mobi epub pdf txt 電子書

Verilog HDL數字係統設計原理與實踐 pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

Verilog HDL數字係統設計原理與實踐 pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


Verilog HDL數字係統設計原理與實踐 bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有