國外電子與電氣工程技術叢書·模擬電路設計:分立與集成 [Analog Circuit Design Discrete & Integrated] pdf epub mobi txt 電子書 下載 2024

圖書介紹


國外電子與電氣工程技術叢書·模擬電路設計:分立與集成 [Analog Circuit Design Discrete & Integrated]

簡體網頁||繁體網頁
[美] 賽爾吉歐·弗朗歌(Sergio Franco) 著,雷鑑銘,餘國義,鄒誌革 等 譯



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-06-10


類似圖書 點擊查看全場最低價

齣版社: 機械工業齣版社
ISBN:9787111577812
版次:1
商品編碼:12243210
品牌:機工齣版
包裝:平裝
叢書名: 國外電子與電氣工程技術叢書
外文名稱:Analog Circuit Design Discrete & Integrated
開本:16開
齣版時間:2017-09-01
用紙:膠版紙
頁數:5

國外電子與電氣工程技術叢書·模擬電路設計:分立與集成 [Analog Circuit Design Discrete & Integrated] epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



國外電子與電氣工程技術叢書·模擬電路設計:分立與集成 [Analog Circuit Design Discrete & Integrated] epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

國外電子與電氣工程技術叢書·模擬電路設計:分立與集成 [Analog Circuit Design Discrete & Integrated] pdf epub mobi txt 電子書 下載



具體描述

內容簡介

  《國外電子與電氣工程技術叢書·模擬電路設計:分立與集成》以半導體物理理論為基礎,注重闡述模擬電路技術和BiCMOS技術,注重物理概念的詮釋,強調模擬電路的分立和和集成設計。全書主要內容有:pn結二極管、雙極型晶體管、MOS場效應晶體管、模擬集成電路構建、模擬集成電路、頻率和時間響應、反饋、穩定性和噪聲。
  《國外電子與電氣工程技術叢書·模擬電路設計:分立與集成》適閤作為電類專業本科生和相關專業的模擬電路教材。

作者簡介

  賽爾吉歐·弗朗哥(Sergio Franco)齣生在意大利,1980年開始在美國舊金山州立大學電氣工程係授課,期間獲得瞭伊利諾伊大學香檳分校博士學位,成為該係榮譽教授。在就任現職之前,Franco博士擁有廣泛的行業經驗,在諸如固態物理學、模式識彆、集成電路(IC)設計、醫學電子、日用電子和汽車電子等領域工作過,發錶論文頗豐,Franco博士還是《Design with Operational Amplifiers and Analog Integrated Circuits》(McGraw-Hill Education,2014)和《Electric Ciruit Fundamentals》(Oxford University Press,1995)兩本教科書的作者。

內頁插圖

目錄

目  錄
齣版者的話
譯者序
前言
第1章 二極管和pn結1
 1.1 理想二極管2
 1.2 二極管的基本應用7
 1.3 運算放大器與二極管的應用14
 1.4 半導體18
 1.5 平衡態的pn結23
 1.6 空間電荷區外接偏置的影響26
 1.7 pn結二極管方程28
 1.8 反嚮偏置的pn結32
 1.9 正嚮偏置二極管的特性34
 1.10 pn結二極管電路的直流分析37
 1.11 pn結二極管電路的交流分析43
 1.12 擊穿區工作狀態49
 1.13 直流電源54
 總結57
 附錄1A58
 參考文獻59
 習題59
第2章 雙極型晶體管73
 2.1 BJT的物理結構75
 2.2 BJT的基本工作原理77
 2.3 BJT的i-v特性85
 2.4 工作區與BJT模型89
 2.5 作為放大器/開關的BJT98
 2.6 BJT的小信號工作狀態102
 2.7 放大器的BJT偏置設計109
 2.8 基本雙極型電壓放大器114
 2.9 雙極型電壓和電流緩衝器121
 附錄2A129
 參考文獻131
 習題131
第3章 MOSFET146
 3.1 MOSFET的物理結構147
 3.2 閾值電壓Vt149
 3.3 n溝道MOSFET的特性155
 3.4 MOSFET的i-v特性161
 3.5 MOSFET在阻性直流電路中的應用169
 3.6 MOSFET作為放大器/開關178
 3.7 MOSFET的小信號工作狀態183
 3.8 基本MOSFET電壓放大器188
 3.9 MOSFET電壓和電流緩衝器195
 3.10 CMOS反相器/放大器198
 附錄3A203
 參考文獻205
 習題205
第4章 模擬集成電路單元電路218
 4.1 集成電路設計注意事項219
 4.2 BJT的特性和改進模型224
 4.3 MOSFET特性及其改進模型233
 4.4 達林頓、共源共柵和級聯結構242
 4.5 差分對252
 4.6 差分對的共模抑製比257
 4.7 差分對的輸入失調電壓/電流262
 4.8 電流鏡266
 4.9 帶有源負載的差分對273
 4.10 雙極型輸齣級281
 4.11 CMOS輸齣級286
 附錄4A289
 參考文獻290
 習題290
第5章 模擬集成電路311
 5.1 μA741運算放大器311
 5.2 兩級CMOS運算放大器319
 5.3 摺疊式共源共柵CMOS運算放大器324
 5.4 電壓比較器327
 5.5 電流和電壓基準332
 5.6 電流模集成電路340
 5.7 全差分運算放大器346
 5.8 開關電容電路351
 附錄5A359
 參考文獻360
 習題360
第6章 頻率和時間響應368
 6.1 高頻BJT模型369
 6.2 高頻MOSFET模型374
 6.3 共射/共源放大器頻率響應377
 6.4 差分放大器的頻率響應384
 6.5 雙極型電壓和電流緩衝器388
 6.6 MOS電壓和電流緩衝器393
 6.7 開路時間常數分析397
 6.8 共源共柵放大器的頻率響應403
 6.9 運算放大器頻率和瞬態響應407
 6.10 二極管開關瞬態414
 6.11 BJT開關瞬態417
 6.12 CMOS門電路和電壓比較器瞬態響應423
 附錄6A431
 參考文獻435
 習題436
第7章 反饋、穩定性和噪聲446
 7.1 負反饋基礎447
 7.2 反饋對失真、噪聲、帶寬的影響451
 7.3 反饋結構和閉環I/O電阻457
 7.4 實際結構和負載效應462
 7.5 反饋比分析478
 7.6 布萊剋曼阻抗公式和注入方法486
 7.7 負反饋電路的穩定性490
 7.8 主極點補償497
 7.9 單片運算放大器的頻率補償501
 7.10 噪聲510
 參考文獻521
 習題521

前言/序言

前  言這本教材是為那些學習電子工程專業且以模擬電子學為自身事業的學生而準備的。模擬集成電路設計者、産品/工藝/可靠性工程師、測試/測試開發工程師,以及模擬應用/市場/用戶支持工程師的需求量總是很大的。本書是我多年以來在舊金山州立大學任教經驗的成果,在那裏我潛心培養瞭成百上韆位被矽榖高薪聘用的學生,他們分散在各個不同的模擬電路崗位上。這裏介紹本書的三個重要特點。
●同時包括雙極型和CMOS技術。雖然數字電子學中CMOS技術占據主導地位,模擬電子學同時依靠CMOS和雙極型,但後者是高性能模擬電路的選擇以及BiCMOS技術的基礎。
●同時包括分立和集成設計。雖然現今一個模擬係統的最終形式有可能是集成電路類型,但測試和應用通常要求一些輔助功能,例如調節和界麵互連,這些最好用專門的分立設計方法來實現。(任何熟悉這項工作且被公認為模擬應用/測試/測量領域領導者的人,都將贊同這一點,例如Jim Wlilliams和Robert Pease。)在這方麵,BJT有很多現成的分立類型,以適應各種不同的需求,包括實驗室內的實用性試驗。另外,齣於教學需要,在處理復雜集成電路之前先介紹簡單的分立電路是明智的。
●適當深度地介紹瞭半導體理論,以滿足工業生産中工程師對這些知識的日常需求。每一種模擬功能總離不開一個物理現象,所以模擬工程師,特彆是IC設計者和産品/工藝/可靠性工程師,需要精通半導體物理知識以使電路在最佳狀態運行。
本書結構本書分為兩部分。
●第一部分主要介紹二極管(見第1章)、BJT(見第2章)和MOSFET(見第3章)。就這部分而言,它適閤作為初級電子學的第一門課。這些內容是按照電子行業科技進展的順序排列的。然而,由於第2章和第3章是分開的,希望交換BJT和MOSFET教學順序的教師也可以輕易實現。無論順序如何,第3章都可以比第1章和第2章更快地講授完畢,因為學生已經學習瞭直流偏置和大/小信號模型的內容。
●第二部分包括模擬IC單元電路(見第4章)、典型模擬IC(見第5章)、頻率和時間響應(見第6章)以及負反饋、穩定性和噪聲(見第7章)。這部分適閤作為模擬IC分析設計的本科生/研究生級的課程。在這部分,BJT和MOSFET通常一起討論,以區分兩者的異同點。
每一章提供瞭與其主題相關的相當廣泛的覆蓋範圍,所以每一章需要較長篇幅。全部內容超過瞭通常情況下兩學期或者四學期的課程,這為教師在選講內容上留有餘地。而且,作者認為學生在學習第6章中更具挑戰性的頻率和時間響應之前,需要熟練掌握低頻電路。然而,教師可以通過跳過第一部分中的閤適主題來更改選講範圍,以更充分地講授第6章的選定主題。
正如提到的那樣,第一部分集中講授基本晶體管電路,側重於傳統的分立設計方法。從教育學角度來看,先學習單管電路再學習多管係統是閤理的,如果在實驗室中完成這一部分效果將會更好,因為在那裏更容易研究簡單電路。實際上,在這個水平的學習中,與計算機仿真相比,實驗室可以提供更加有價值的學習環境。分立電路有一個眾所周知的缺點,就是需要用到耦閤/旁路電容,這就增加瞭一個使學生分散注意力的因素,因為學生想要充分掌握這些電容的功能是很花費時間的。認識到這點,我嘗試通過具體例子來闡述電容(見圖2.55和圖3.60)。
在分立電路之後,本書進入集成電路部分。集成和分立方法在4.1節中進行對照;同時,兩者的直觀區彆在習題4.3中進行瞭討論。第二部分先介紹模塊的復雜性,再討論典型模擬IC,再討論IC動態特性,最後講述工作在負反饋下的IC,以及穩定性考慮、頻率補償和噪聲。這部分是為IC設計者所準備的,但同時適用於所有與製造、測試和應用相關的其他種類工程師。目前數量最多的應用工程師,需要同時精通技術(以做齣有根據的選擇)和IC內部工作原理(以優化其應用)。本書的目的是在芯片設計能力和印製電路闆設計能力之間促成一個平衡。
書中配套的網站為教師提供瞭習題答案手冊和PPT� 」賾詒臼榻談ㄗ試矗�隻有使用本書作為教材的教師纔可以申請,需要的教師可嚮麥格勞·希爾教育齣版公司北京代錶處申請,電話:010-5799 7618/7600,傳真:010-5957 5582,電子郵件:instructorchina@mheducation.com。——編輯注�。�以及一係列有用的網站鏈接和勘誤錶。對於任何可能的勘誤提醒,作者錶示感激。
可從www.CourseSmart.com上得到本書電子版。利用CourseSmart網站可以節省可觀的紙版教材打印費用,減少對環境的影響,並獲得強大的網絡學習工具。電子書允許讀者進行全文搜索、添加重點和標注,以及與他人分享筆記。CourseSmart所精選的電子書數量是最多的。訪問www.CourseSmart.com以瞭解更多內容並嘗試學習一個樣章。
動機在試用瞭一些其他的教材後,我決定自己撰寫一部,一方麵用於迴答學生關注的問題,另一方麵將自己對怎樣更好地為研究生服務的想法付諸實踐,這些學生通常都會繼續從事電子行業。接下來列齣的是學生關注最多的問題。
●需要參照眾多的示例,特彆是在工作中工程師們每天都會遇到的那些。我精心編寫瞭每一個例題和章後習題,以滿足兩個大概的需求:一是幫助學生在學習過程中獲得對數量級的直觀印象(例如例1.8),二是通過一個電路在不同情形或不同復雜度等級下的變化,來加深學生的理解(參見圖1.18~圖1.20)。在這個方麵,我努力強調通過思考和物理直覺來獲得一個係統的問題求解方法,而非進行生搬硬套的計算。因為這是物理層麵上的理解,而非數學上的處理或是計算機上的仿真,這種理解植根於學生的設計創造力,而這點又是工作中所需求的。本書還包括一些工程師每天都要用到的很有價值的經驗法則(參見1.8節和1.9節)。在任意可能的時候,都應該提醒學生運用直覺和物理洞察力來預測數學計算或計算機仿真得到的值,並檢測是否與物理實際相符閤(關於物理洞察力參見例6.5和圖7.93)。
●書中應包含SPICE仿真。本書集成的SPICE部分,既作為教學目標以使學生更直觀地理解新概念(參見圖4.66),也作為驗證工具以處理復雜計算。如果計算結果和仿真結果有顯著差彆,學生就需要說明可能的原因(見例5.2)。最後,SPICE可用來顯示那些對於手工計算而言過於復雜的細微差彆(見例6.11)。現在能獲得的SPICE版本非常多。與其指定某個特定版本,不如使電路的原理圖設計足夠簡單,這樣學生就可以花數分鍾在他喜歡的SPICE版本上將電路建立起來。
●對基本半導體概念進行介紹。我所在學校(一所州立大學)的多數畢業生從事各種各樣的職位,從IC設計者到産品和可靠性工程師、測試和測試開發工程師,以及應用和用戶支持工程師,在這種情況下一個廣闊的知識背景遠比一種有限的知識專精要重要得多。對半導體物理原理的基本理解是這個背景中的一個整體模塊,特彆是對將來的産品工程師和可靠性工程師而言。
●順應現今形象化地麵嚮讀者的學習趨勢,本書包含瞭眾多的圖。多數圖由並行排列的兩個或多個部分組成,通過不同的電路情況、模型、時間幀或因果關係,來直觀顯示同一概念的不同方麵(參見圖1.59)。並且,分析過程中涉及的最為相關的公式都直觀地列舉齣來,這對學生準備課堂測驗和考試時尤為有用。在閤適的時候,一整組公式會列為錶格,以便於比較(參見圖3.50)。
我嘗試使用原汁原味的教材格式來解決上述這些問題。每一章的開頭都有簡短的曆史背景和動機框架,緊接著是對本章所包含主題的簡要概述,其後就是章節本身。每章以各種精挑細選的強調直覺和物理洞察力的習題作為結尾。
內容一瞥第1章開頭介紹理想二極管,以此為工具介紹非綫性電路和應用。其後是對運算放大器的復習,作為學習各種二極管器件及後麵晶體管的鋪墊。接著介紹對二極管結進行近似時最通用的物理器件pn結。在對半導體知識進行直觀復習後,再詳細討論pn結,並運用經驗法則來強調一些工程師在日常工作時會用到的實用知識。熟練掌握pn結對理解隨後兩章的晶體管物理學是至關重要的。最後,討論各種常見的二極管應用,通常用PSpice作為輔助教學工具來加深理解。
第2章介紹雙極型晶體管(BJT),這是pn結在科技上(和曆史上)的發展。像第1章那樣,先介紹BJT的物理結構,其後推導齣其i-v特性,再介紹大/小信號模型和直流偏置,最後是單晶體管放大器和緩衝器的分析與設計。該章介紹的共射極結構通常用作電壓放大,而共集電極和共基極結構通常分彆用作電壓和電流緩衝器。該章的重點是研究BJT作為電阻轉換器件時的作用(這也是BJT這樣命名的基礎)。這種等效轉換可以很方便地列成錶格,以便後續章節的查閱。
第3章介紹MOSFET,與第2章介紹BJT類似。然而,這兩章是互相分開的,所以若有需要兩章的順序可以互換。該章開頭詳細介紹本徵閾值的物理基礎,這對那些以後想成為産品、工藝和可靠性工程師的學生很有益。緊接著推導MOSFET的i-v特性,再介紹大/小信號模型和直流偏置,最後是單晶體管放大器和緩衝器的分析與設計。該章介紹的共源極結構通常用作電壓放大,而共漏極和共柵極結構通常分彆用作電壓和電流緩衝器。該章還包含瞭CMOS反相器和基本CMOS邏輯門,計算機工程專業的學生同樣能夠受益於此(參見圖3.44對PSpice噪聲容限的解釋)。
第4章介紹現今應用最廣的IC模塊,電路的復雜度上升瞭一個等級。按照後續章節使用的需要,詳略不同地介紹共源共柵結構、差分放大器、全類型電流鏡、有源負載和推挽式輸齣級。在任何可能的時候,同時討論BJT和MOSFET以呈現這種統一處理的思想,同時也節省瞭空間和工作量。
第5章運用第4章中的模塊來設計典型的雙極型、CMOS混閤模擬IC,即高增益放大器(如運放)、電壓比較器和全差分運放;參考電壓源、電流源(如帶隙基準源);電流型IC(如跨導體、運算跨導放大器和電流反饋放大器);最後還有開關電容電路。
第6章討論分立器件的頻率和時間響應,再一直延伸至第5章的IC模塊等復雜電路。頻率分析基於米勒近似以及開環時間常數的知識。pn二極管和BJT的轉換時間雖然在工業生産中很重要,但在本書中依然忽略瞭對它們的具體討論,轉而通過對電荷控製的分析來大緻瞭解一下相關知識。該章還包括瞭CMOS邏輯門的轉換時間討論,計算機工程專業的學生會從中獲益。在這一章中,PSpice被頻繁用作驗證手工運算的工具。
第7章開頭以淺顯易懂的方式介紹瞭前麵章節電路中包含的負反饋,從單晶體管的情形一直討論到運放。而後介紹瞭二端口網絡法和反饋比法,並用各種精心準備的例題對它們進行比較。同時以實用的方式介紹瞭布萊剋曼阻抗公式和注入方法。其後開始介紹運放的穩定性和頻率補償,同時討論雙極型和CMOS型(此處PSpice再次成為最有用的教學工具)。該章還包括對集成電路噪聲的學習。在介紹基本噪聲特性、分析工具和噪聲類型後討論瞭二極管和晶體管的噪聲模型。最後,運用噪聲分析方法分析瞭典型電路的噪聲特性。
對同學們的建議你所學習的電子學課程為你從事電子工程行業打下瞭基礎。這些課程的目的並不僅僅是介紹諸如二極管、晶體管這樣的器件,也是為瞭幫助你建立一個新的思維模式和問題解答方法,這是充滿挑戰但又有趣的電子工程領域所特有的。大部分的電子工程專業畢業生最終從事工業化生産方麵的工作,認識到這一現實,我著重介紹瞭那些與當今工業化環境最為相關的實用知識。無論你最後成為一個IC設計者、産品工程師、測試/測試開發工程師,還是應用或用戶支持工程師,你最開始學到的這些電子學課程將會常常在各種情況下派上用場,所以相比於那些常見課程,你最好在這門課程上投入更多的時間和精力,你會受益終身。
雖然二極管和晶體管是高度非綫性的器件,但仍有特殊的技巧來分析它們,這些技巧大多在綫性電路的課程中就已介紹。在這些預備課程中學習的分析工具在電子學的學習中有著重要的作用,學習它們絕非浪費時間。特彆是,在探討電子學領域時,歐姆定律、基爾霍夫定律(KVL和KCL)、節點/環路分析方法、戴維南/諾頓定理、疊加原理和運放定律等將繼續作為重要的分析工具。
和其他工程學分支一樣,電子學解決的是器件和係統這類的物理實體。我們將數學作為理解及預測工作狀態和設計新器件的工具,將計算機仿真作為驗證工具。任何概念的推導和預測最終都必須經過物理實體的驗證,絕不能理所當然地運用。藉助數學推導或計算機仿真,運用物理推論來論證概念過程,在整個課程的學習中是最為核心的。
除瞭精通綫性電路分析技巧外,學生應具備基本的微積分知識,例如斜率和麯綫包含的麵積,以及基本的靜電學知識,例如高斯定理以及電場與電勢間的關係。同時,在驗證人工分析結果時,在預備課程中學習的通過PSpice搭建電路的能力是非常有用的。
緻謝許多讀者提供瞭詳細的評論和眾多有價值的建議。我盡量采納這些建議,但在齣現意見相左的情況下,我隻能繼續采用自己的想法。對所有提供反饋的讀者,我深錶感激。我在此特彆感謝剋萊姆森大學的Stephen Hubbard、艾奧瓦州立大學的Santosh Pandey、北卡羅來納州立大學的Doona Ginger Yu。最後,我要感謝我的妻子Diana May對我的鼓勵和堅定不移的支持。
Sergio Franco舊金山州立大學
國外電子與電氣工程技術叢書·模擬電路設計:分立與集成 [Analog Circuit Design Discrete & Integrated] 下載 mobi epub pdf txt 電子書

國外電子與電氣工程技術叢書·模擬電路設計:分立與集成 [Analog Circuit Design Discrete & Integrated] pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

國外電子與電氣工程技術叢書·模擬電路設計:分立與集成 [Analog Circuit Design Discrete & Integrated] pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


國外電子與電氣工程技術叢書·模擬電路設計:分立與集成 [Analog Circuit Design Discrete & Integrated] bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有