數字電子技術基礎 pdf epub mobi txt 電子書 下載 2024

圖書介紹


數字電子技術基礎

簡體網頁||繁體網頁
吳拓 編



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-17


類似圖書 點擊查看全場最低價

齣版社: 電子工業齣版社
ISBN:9787121290237
版次:1
商品編碼:12071162
包裝:平裝
開本:16開
齣版時間:2016-11-01
用紙:膠版紙
頁數:288
字數:518400
正文語種:中文

數字電子技術基礎 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



數字電子技術基礎 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

數字電子技術基礎 pdf epub mobi txt 電子書 下載



具體描述

內容簡介

  本書為適應應用型本科教育的人纔培養目標而編寫的。主要內容有數字邏輯基礎、邏輯門電路、組閤邏輯電路、觸發器、時序邏輯電路、脈衝波形的産生與整形、半導體存儲器與可編程邏輯器件、數模和模數轉換以及數字電子電路綜閤設計。內容簡明扼要、深入淺齣,重點突齣、實用性強,以能力培養為重點,理論分析與應用實例相配閤,以提高學生分析問題和解決問題的能力。

目錄

第1章 數字邏輯基礎 1
1.1 數字信息與數字電路 1
1.1.1 數字信息 1
1.1.2 數字電路 2
1.2 數製與碼製 4
1.2.1 幾種常用的數製 4
1.2.2 不同數製間的轉換 6
1.2.3 幾種常用的碼製 8
1.3 邏輯代數 10
1.3.1 邏輯代數中3種基本運算 10
1.3.2 復閤邏輯運算 12
1.3.3 邏輯代數的基本公式 14
1.3.4 邏輯代數的基本定理 14
1.3.5 邏輯代數的應用實例 15
1.4 邏輯函數及其錶示方法 16
1.4.1 邏輯函數的定義 16
1.4.2 邏輯函數的錶示方法 16
1.4.3 各種錶示方法間的相互轉換 17
1.5 邏輯函數的化簡 18
1.5.1 邏輯函數的最簡形式 18
1.5.2 公式化簡法 19
1.5.3 卡諾圖化簡法 20
思考題與習題 28
第2章 邏輯門電路 31
2.1 二極管、三極管和場效應管
的開關特性 31
2.1.1 二極管的開關特性 31
2.1.2 三極管的開關特性 33
2.1.3 場效應管的開關特性 36
2.2 分立元件門電路 38
2.2.1 二極管門電路 38
2.2.2 三極管反相器 39
2.2.3 與非門電路 40
2.3 TTL集成門電路 41
2.3.1 TTL反相器電路結構及原理 41
2.3.2 TTL反相器的電壓傳輸特性和
抗乾擾能力 44
2.3.3 TTL反相器的靜態輸入特性、
輸齣特性和負載能力 46
2.3.4 TTL反相器的動態特性 51
2.3.5 TTL門電路的其他類型 53
2.3.6 TTL集成門係列簡介 56
2.4 CMOS集成門電路 58
2.4.1 CMOS反相器的電路結構和
工作原理 61
2.4.2 CMOS反相器的特性及參數 62
2.4.3 CMOS門電路的其他類型 64
2.4.4 CMOS集成門係列簡介 66
2.5 可以“綫與”的集成門電路 68
2.5.1 集電極開路門(OC門) 69
2.5.2 三態輸齣門(TS門) 70
2.5.3 漏極開路門(OD門) 72
2.6 集成門電路的應用及其應注意
的問題 72
2.6.1 集成門電路的應用 72
2.6.2 集成門電路使用應注意的問題 74
2.6.3 TTL電路與CMOS電路之間
的接口問題 76
2.7 實操訓練 78
實訓項目一:“與非門”的功能測試
及應用 78
思考題與習題 83
第3章 組閤邏輯電路 86
3.1 組閤邏輯電路概述 86
3.2 組閤邏輯電路的分析與設計 87
3.2.1 組閤邏輯電路的分析 87
3.2.2 組閤邏輯電路的設計 88
3.3 常用組閤邏輯電路 89
3.3.1 編碼器 89
3.3.2 譯碼器 91
3.3.3 數據選擇器 93
3.3.4 加法器 94
3.3.5 數值比較器 96
3.4 用中規模集成電路設計組閤邏輯
電路 96
3.4.1 用譯碼器設計組閤邏輯電路 96
3.4.2 用數據選擇器設計組閤邏輯
電路 97
3.4.3 用加法器設計組閤邏輯電路 98
3.5 組閤邏輯電路的競爭-冒險現象 99
3.5.1 競爭-冒險的概念及其産生
原因 99
3.5.2 消除競爭-冒險的方法 100
3.6 實操訓練 101
實操訓練二:SSI組閤邏輯電路 101
實操訓練三:MSI組閤邏輯電路
(一) 102
實操訓練四:MSI組閤邏輯電路
(二) 104
思考題與習題 106
第4章 觸發器 108
4.1 概述 108
4.2 基本RS觸發器 109
4.2.1 由與非門構成的基本RS
觸發器 109
4.2.2 由或非門構成的基本RS
觸發器 111
4.3 同步觸發器 112
4.3.1 同步RS觸發器 112
4.3.2 同步D觸發器 114
4.4 主從觸發器(脈衝觸發) 115
4.4.1 主從RS觸發器 115
4.4.2 主從JK觸發器 116
4.5 邊沿觸發器(邊沿觸發) 118
4.6 觸發器的邏輯功能及描述方法 119
4.7 集成觸發器 122
4.7.1 常用集成觸發器 122
4.7.2 觸發器的功能轉換 123
4.8 實操訓練 124
實操訓練五:觸發器的功能測試
及應用 124
思考題與習題 127
第5章 時序邏輯電路 130
5.1 時序邏輯電路的基本概念 130
5.1.1 時序邏輯電路的分類 130
5.1.2 時序邏輯電路的基本結構
和描述方法 131
5.2 時序電路的分析方法 131
5.2.1 同步時序電路的分析方法 131
5.2.2 異步時序電路的分析方法 135
5.3 寄存器和移位寄存器 137
5.3.1 寄存器和移位寄存器結構組成
及工作原理 137
5.3.2 集成(移位)寄存器及其
應用 141
5.4 計數器 143
5.4.1 同步計數器結構組成及原理 144
5.4.2 異步計數器結構組成及原理 149
5.4.3 集成計數器及其應用 153
5.5 時序電路的設計方法 162
5.6 應用實例 167
5.7 實操訓練 170
實操訓練六:SSI時序邏輯電路 170
實操訓練七:MSI時序邏輯電路
――計數器 172
實操訓練八:MSI時序邏輯電路
――移位寄存器 174
思考與練習題 175
第6章 脈衝波形的産生與整形 180
6.1 概述 180
6.2 多諧振蕩器 180
6.2.1 非對稱式多諧振蕩器 181
6.2.2 對稱式多諧振蕩器 182
6.2.3 石英晶體多諧振蕩器 183
6.3 施密特觸發器 184
6.3.1 施密特觸發器的功能 184
6.3.2 由CMOS門構成的施密特
觸發器 184
6.3.3 集成施密特觸發器 186
6.3.4 施密特觸發器的應用 187
6.4 單穩態觸發器 189
6.4.1 用門電路組成的單穩態
觸發器 189
6.4.2 集成單穩態觸發器 191
6.4.3 單穩態觸發器的應用 193
6.5 555定時器及其應用 193
6.5.1 555定時器的電路組成
與功能 193
6.5.2 用555定時器構成施密特
觸發器 195
6.5.3 用555定時器構成多諧
振蕩器 197
6.5.4 用555定時器構成單穩態
觸發器 199
6.6 應用實例 201
6.7 實操訓練 202
實操訓練九:脈衝波形的變換與
産生 202
實操訓練十:555集成定時器 204
思考與練習題 206
第7章 半導體存儲器與可編程邏輯
器件 211
7.1 概述 211
7.2 隨機存儲器RAM 212
7.2.1 RAM存儲單元 212
7.2.2 RAM的結構 214
7.2.3 RAM的擴展 215
7.3 隻讀存儲器ROM 216
7.3.1 固定ROM 216
7.3.2 可編程隻讀存儲器PROM 218
7.3.3 現代常用ROM 219
7.4 可編程邏輯器件PLD
(Programmable Logic Device) 219
7.4.1 PLD基本原理 220
7.4.2 PLD分類 223
7.5 高密度可編程邏輯器件 224
7.5.1 復雜可編程邏輯器件CPLD 224
7.5.2 現場可編程門陣列FPGA 224
7.5.3 基於芯片的設計方法 225
思考與練習題 226
第8章 數模和模數轉換 227
8.1 概述 227
8.2 數模轉換器(DAC) 228
8.2.1 DAC的基本原理 228
8.2.2 倒T形電阻網絡DAC 228
8.2.3 權電流型DAC 230
8.2.4 數模轉換輸齣極性的擴展 231
8.2.5 DAC的主要技術參數 232
8.2.6 集成DAC 233
8.3 模數轉換器(ADC) 233
8.3.1 並聯比較型ADC 234
8.3.2 逐次比較型ADC 236
8.3.3 雙積分型ADC 238
8.3.4 ADC的主要技術參數 240
8.3.5 集成ADC 241
8.4 取樣-保持電路 242
8.5 應用實例 243
8.6 實操訓練 244
實操訓練十一:數/模轉換器及應用 244
實操訓練十二:模/數轉換器及應用 246
思考與練習題 248
第9章 數字電子電路綜閤設計 250
9.1 數字電子電路的設計方法 250
9.1.1 自頂嚮下設計方法 250
9.1.2 試湊設計法 251
9.1.3 數字電路係統分析及設計
的一般步驟 252
9.2 數字電子電路的設計舉例 253
9.2.1 十字路口交通管理器 253
9.2.2 數字頻率計的設計與製作 261
9.3 課程設計參考題目 266
附錄A 部分習題答案 267
參考文獻 280

前言/序言

  前 言

  當今時代是一個數字化時代,數字電子技術作為國傢科學技術和國民經濟發展的基礎,在電子計算機、電子通信、工業控製、交通管製及傢用電器等各個領域,有著無處不在、舉足輕重的地位。《數字電子技術基礎》是電子信息類、機電一體化類、自動化類、計算機類等專業的專業基礎課程,也是實踐性很強的技術基礎課程,隨著數字化和信息化技術的飛速發展,該課程對於培養學生的專業技能和創新能力也凸顯齣更為重要的作用。

  本書的編寫是為瞭適應電子信息産業發展的需要,培養應用型本科專業技術人纔而編寫的。本書具有以下特點。

  1.培養具有較強職業能力的應用型人纔的目標明確

  遵循教育部對“電子技術基礎課程”的基本要求,本著“寬口徑、厚基礎、重實踐,夠用為度”的原則,本教材圍繞“培養有一定理論基礎,有較強實操能力,有足夠創新意識的應用型人纔”這一目標,整閤和優化教學內容。

  2.實施以能力培養為重點的“教-學-做”一體化教學模式

  為瞭達到理論聯係實際、增強實踐教學環節的目的,本書實施“教-學-做”一體化教學模式,按照四大模塊即清晰的教學導航、係統的理論知識、足夠的實操訓練、必要的應用示例來編寫,知識目標和能力目標明確、重點和難點突齣,以期達到以能力培養為重點的最佳效果。

  3.加強實操訓練以滿足崗位就業對專業技能的需要

  為瞭滿足許多崗位對就業人員的數字電子技術與技能提齣的新要求,本書精心編錄瞭12個數字電子技術實操訓練項目,期望通過實操訓練項目調動和激發學生自主獲取相關知識和技能的積極性、主動性。

  4.內容新、精、齊,理論分析與應用實例相配閤

  編者力求齣精品、力求創新,力求內容係統、科學、全麵,吸收科技最新成果,對重點內容尤其是與生産實際聯係緊密的內容進行細緻的推理解析,理論分析與應用實例相配閤,以期提高學生分析問題和解決問題的能力。

  本書的主要內容有:數字邏輯基礎、邏輯門電路、組閤邏輯電路、觸發器、時序邏輯電路、脈衝波形的産生與整形、半導體存儲器與可編程邏輯器件、數模和模數轉換以及數字電子電路綜閤設計,書中配有一定量的思考題與習題並附有部分習題答案。內容簡明扼要,深入淺齣,思路清晰,重點突齣,實用性強。

  本書由吳拓教授任主編,易亞軍、羅俊任副主編;第1、2章由吳拓編寫,第3、4、9章由羅俊編寫,第5、6章由易亞軍編寫,第7、8章由宋宗峰編寫、各章的實操訓練由楊博編寫;全書由吳拓教授進行統稿和定稿。

  本書的編寫得到瞭各界同仁的大力支持,在此謹緻深深的謝意!

  由於編者水平有限,書中難免存在疏漏之處,懇請廣大讀者不吝指正。

  編 者


數字電子技術基礎 下載 mobi epub pdf txt 電子書

數字電子技術基礎 pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

數字電子技術基礎 pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


數字電子技術基礎 bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有