数字集成电路设计实践 pdf epub mobi txt 电子书 下载 2024

图书介绍


数字集成电路设计实践

简体网页||繁体网页
易幼文 著



点击这里下载
    


想要找书就要到 静流书站
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

发表于2024-04-24

类似图书 点击查看全场最低价

出版社: 电子工业出版社
ISBN:9787121265532
版次:1
商品编码:11737339
包装:平装
丛书名: 微电子与集成电路丛书
开本:16开
出版时间:2015-08-01
用纸:胶版纸
页数:224
正文语种:中文

数字集成电路设计实践 epub 下载 mobi 下载 pdf 下载 txt 电子书 下载 2024

相关图书



数字集成电路设计实践 epub 下载 mobi 下载 pdf 下载 txt 电子书 下载 2024

数字集成电路设计实践 pdf epub mobi txt 电子书 下载



具体描述

内容简介

本书从产品研发的角度,介绍数字集成电路逻辑设计的原理、方法和实践经验。主要内容涵盖集成电路器件和制造工艺的基本原理、逻辑功能的抽象层次、设计流程、硬件描述语言、微架构设计和芯片总线。本书还详细介绍了常见的先入先出缓存的设计实例。本书的特点是注重为实践中常见的问题提供解决方法和背景知识,内容有的放矢、简明实用。

作者简介

易幼文,目前在思科公司任硬件工程经理(Hardware Engineering Manager)。另外,现在还任美国北加州复旦大学校友会会长,以及美国硅谷地区的中国高校校友会联合会的秘书长。

目录

第1章 硅晶体管和集成技术 1
1.1 半导体硅和PN结 1
1.2 硅晶体管 3
1.3 逻辑门和互补式晶体管 5
1.4 集成电路制造技术 7
第2章 数据处理和逻辑设计 10
2.1 数字数据 10
2.2 数据处理 11
2.3 数据运算与组合电路 11
2.4 记忆功能和寄存器 14
2.5 算法和同步电路 16
2.6 建立时间和保持时间 18
2.7 集成电路设计流程 20
第3章 Verilog硬件描述语言简介 26
3.1 逻辑仿真 26
3.2 用Verilog描述逻辑设计和逻辑验证 27
3.3 模块和端口 31
3.4 常量数据 32
3.5 算术运算和逻辑运算 33
3.6 wire变量与assign指令 34
3.7 reg变量和initial及always程序块 36
3.8 reg变量与RTL设计 37
3.9 阻塞性和非阻塞性指令 39
3.10 流程控制 40
3.11 function和task 42
3.12 预处理指令、系统任务及注释 43
3.13 parameter和参数化模块 44
第4章 微架构设计 46
4.1 模块面积和逻辑门数 46
4.2 数据吞吐率和延滞时间 48
4.3 功耗 48
4.4 基本组合运算 50
4.5 延迟时间的优化 50
4.6 负数运算 52
4.7 流水线 53
4.8 跨时钟域电路 55
4.9 时钟门控 58
第5章 有限状态机 61
5.1 时序逻辑和状态机 61
5.2 状态机的RTL代码 63
5.3 状态机的设计 65
5.4 独热编码 67
5.5 控制路径和数据路径 68
5.6 状态机的阶层化设计 71
5.7 状态机的稳健性 73
5.8 寄存器的复位 77
5.9 未知值扩散与寄存器的初始化 81
第6章 先入先出缓存 85
6.1 FIFO的功能和用途 85
6.2 基本FIFO的架构 87
6.3 基本FIFO的RTL代码 89
6.4 基本FIFO的逻辑验证 91
6.5 FIFO空满状况信号的寄存输出 98
6.6 任意深度的FIFO 99
6.7 FIFO数据的寄存输入和寄存输出 103
6.8 格雷码 109
6.9 异步FIFO 111
6.10 FIFO的流量控制方式和其他 117
第7章 存储器 120
7.1 SRAM的基本原理 120
7.2 同步SRAM 123
7.3 存储器编译器 124
7.4 存储器内建自测试 125
7.5 多端口SRAM 127
7.6 SRAM行为模型 129
7.7 SRAM软错误 132
7.8 TCAM 133
7.9 DRAM 136
7.9.1 DRAM存储单元 136
7.9.2 SDRAM基本架构 137
7.9.3 SDRAM的控制信号 138
7.9.4 SDRAM时序参数 140
7.9.5 SDRAM控制器 142
第8章 系统总线和芯片总线 144
8.1 系统芯片和总线 144
8.2 总线的层次架构 146
8.3 总线的拓扑结构 148
8.4 APB总线 149
8.5 AHB总线 151
8.6 WISHBONE总线 153
8.7 AXI总线 155
8.8 总线寄存器层 158
8.8.1 PIO和DMA 158
8.8.2 数据的大小端顺序 159
8.8.3 被控寄存器的种类 161
8.8.4 直接地址和间接地址 162
8.9 JTAG接口 162
8.10 I2C总线 166
8.11 PCI 168
8.11.1 PCI总线的基本结构 168
8.11.2 PCI功能块的配置 170
8.11.3 PCI的总线事务 171
8.11.4 CPU中断 172
8.12 PCI Express 174
8.12.1 PCIe总线的基本结构 174
8.12.2 PCIe总线的软件兼容性 174
8.12.3 PCIe交换器 175
8.12.4 PCIe总线的通信协议 176
第9章 视频流媒体转换器 178
9.1 Vimer的架构 178
9.2 视频预处理 179
9.3 离散余弦变换 181
9.4 量子化和可变长编码 183
9.5 MPEG和RTP编码器 184
9.6 网络包缓存 185
9.7 以太网接入控制 185
9.8 Vimer芯片的内核和外围 186
9.9 逻辑仿真和硬件仿真 188
附录A 扩展汉明检错纠错码 189
A.1 存储器检错纠错的基本流程 189
A.2 二元域分组码 190
A.3 线性分组码和生成矩阵 190
A.4 使用逆向行和列顺序的生成矩阵 190
A.5 汉明距离和最小距离 191
A.6 差错症状和ECC解码 191
A.7 汉明码及其生成矩阵 192
A.8 扩展汉明码 193
A.9 扩展汉明码的应用程序 194
附录B 循环冗余校验码 195
B.1 多项式码 195
B.2 循环码 196
B.3 系统多项式码 197
B.4 短缩循环码 197
B.5 线性反馈移位寄存器 198
B.6 系统多项式码的并行运算 200
附录C 使用开源软件的逻辑设计和仿真环境 203
C.1 Cygwin 203
C.2 文本编辑器 205
C.3 Verilog语言模式 205
C.4 Icarus Verilog和GTKWave 207
C.5 脚本语言 208
参考文献 210

前言/序言


数字集成电路设计实践 电子书 下载 mobi epub pdf txt

数字集成电路设计实践 pdf epub mobi txt 电子书 下载
想要找书就要到 静流书站
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

用户评价

评分

评分

评分

评分

评分

评分

评分

评分

评分

类似图书 点击查看全场最低价

数字集成电路设计实践 pdf epub mobi txt 电子书 下载


分享链接


去京东购买 去京东购买
去淘宝购买 去淘宝购买
去当当购买 去当当购买
去拼多多购买 去拼多多购买


数字集成电路设计实践 bar code 下载
扫码下载










相关图书




本站所有内容均为互联网搜索引擎提供的公开搜索信息,本站不存储任何数据与内容,任何内容与数据均与本站无关,如有需要请联系相关搜索引擎包括但不限于百度google,bing,sogou

友情链接

© 2024 windowsfront.com All Rights Reserved. 静流书站 版权所有