EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材 pdf epub mobi txt 電子書 下載 2024

圖書介紹


EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材

簡體網頁||繁體網頁
王韆文 著



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-03


類似圖書 點擊查看全場最低價

齣版社: 清華大學齣版社
ISBN:9787302357971
版次:1
商品編碼:11522743
品牌:清華大學
包裝:平裝
叢書名: 高等學校計算機應用規劃教材
開本:16開
齣版時間:2014-09-01
用紙:膠版紙
頁數:362
字數:537000
正文語種:中文

EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材 pdf epub mobi txt 電子書 下載



具體描述

編輯推薦

  讀者對象
  具有一定數字電路基礎、希望學習集成電路設計的讀者,高等院校電子類及相關專業的學生。
  《EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材》特色:
  《EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材》實例典型,內容豐富,有很強的針對性,不僅詳細介紹瞭VHDL語言的基本用法,作為高層次綜閤語言的眾多特點,還介紹瞭數字電路中最常見的組閤邏輯電路、時序電路設計、存儲器設計和狀態機設計方法,並且給齣瞭多個典型代錶實例。

內容簡介

  EDA(Electronic Design Automation)工程是現代電子信息工程領域中發展迅速的一門新技術。它是以計算機為工作平颱,以EDA軟件工具為開發環境,以硬件描述語言為主要錶達方式,以大規模可編程器件為設計載體,以ASIC、SoC、FPGA芯片為目標器件,以電子係統設計為應用方嚮的電子産品自動化設計過程。
  《EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材》介紹瞭EDA技術和硬件描述語言VHDL的基礎知識,通過對工程實例的係統分析、程序設計和仿真,深入細緻地討論瞭其在數字係統設計中的廣泛應用,內容包括EDA和VHDL語言基礎、集成開發環境QuartusⅡ、VHDL程序結構、VHDL語言要素、VHDL順序語句和並行語句、基本電路的VHDL實現方法,還介紹瞭VHDL語言的綜閤、優化和層次設計並且給齣瞭多個綜閤應用實例。
  《EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材》由淺入深地介紹瞭使用VHDL語言進行EDA設計的整個流程,並且給齣瞭大量的應用實例,適閤具有一定數字電路基礎、希望學習集成電路設計的讀者,可作為高等院校電子類相關專業的教材,也可作為VHDL語言設計的參考手冊。

內頁插圖

目錄

第1章 EDA開發技術基礎
1.1 EDA技術基礎
1.1.1 EDA技術簡介
1.1.2 EDA技術的發展
1.2 EDA技術涉及的內容
1.2.1 可編程邏輯器件
1.2.2 硬件描述語言
1.2.3 集成開發環境
1.2.4 實驗開發係統
1.3 常用的硬件描述語言
1.3.1 AHDL語言
1.3.2 VerilogHDL語言
1.3.3 VHDL語言
1.4 EDA的集成開發環境
1.4.1 QuartusⅡ集成開發環境
1.4.2 ISE和ModelSim集成開發環境
1.4.3 ispLEVER集成開發環境
1.5 使用EDA技術進行數字係統開發
1.5.1 數字係統的設計模型
1.5.2 數字係統的設計方法
1.5.3 使用VHDL語言進行數字係統的開發流程
1.6 課後習題
1.6.1 填空題
1.6.2 簡答題

第2章 Quartus Ⅱ集成開發環境
2.1 Quartusll應用基礎
2.1.1 使用圖形用戶界麵
2.1.2 使用EDA工具設計界麵
2.1.3 使用命令行可執杼界麵
2.1.4 Quartus Ⅱ集成開發環境的應用流程
2.1.5 Quartus Ⅱ的常用窗口
2.2 在Quartus Ⅱ集成開發環境中進行VHDL語言開發
2.2.1 編輯設計文件
2.2.2 創建工程
2.2.3 設置工程屬性
2.2.4 編譯項目
2.2.5 仿真
2.2.6 觀察RTL電路
2.2.7 其他
2.3 課後習題
2.3.1 填空題
2.3.2 簡答題
2.3.3 實驗題

第3章 VHDL語言基礎
3.1 VHDL語言程序的結構
3.2 VHDL語言的實體
3.2.1 實體說明
3.2.2 實體的類屬說明
3.2.3 實體的端口說明
3.3 VHDL語言的結構體
3.3.1 結構體的說明語句
3.3.2 結構體的信號定義
3.3.3 結構體的功能描述語句
3.4 VHDL語言的描述風格
3.4.1 行為描述
3.4.2 數據流描述
3.4.3 結構描述
3.4.4 混閤描述
3.5 課後習題
3.5.1 填空題
3.5.2 簡答題
3.5.3 實驗題

第4章 VHDL語言的基本要素
4.1 VHDL語言文字的命名規範
4.1.1 數值型文字
4.1.2 字符串型文字
4.2 VHDL語言的標識符
4.2.1 短標識符
4.2.2 擴展標識符
4.3 VHDL語言的下標和下標段名
4.3.1 下標
4.3.2 下標段名
4.4 VHDL語言的注釋
4.5 VHDL語言的數據對象
4.5.1 常量
4.5.2 變量
4.5.3 信號
4.5.4 文件
4.6 VHDL語言的數據類型
4.6.1 VHDL語言的數據類型按性質分類
4.6.2 VHDL語言的數據類型按數據來源分類
4.6.3 VHDL語言的數據類型簡介
4.6.4 VHDL語言的數據類型轉換
4.7 VHDL語言的操作符
4.7.1 VHDL語言的操作符種類和優先級
4.7.2 VHDL語言的算術操作符
4.7.3 VHDL語言的關係操作符
4.7.4 VHDL語言的邏輯操作符
4.7.5 VHDL話言的重載操作符
4.8 課後習題
4.8.1 填空題
4.8.2 簡答題
4.8.3 實驗題

第5章 VHDL語言的順序描述語句
5.1 賦值語句
5.2 代入語句
5.3 賦值語句和代入語句進階
5.3.1 賦值語句和代入語句的區彆
5.3.2 賦值語句和代入語句的賦值對象
5.4 流程控製語句
5.4.1 IF語句
5.4.2 CASE語句
5.4.3 LOOP語句
5.4.4 NEXT語句
5.4.5 EXIT語句
5.5 WAIT語句
5.5.1 WAIT語句的無限等待
5.5.2 WAITON語句
5.5.3 WAITUNTIL語句
5.5.4.WAITFOR語句
5.5.5 多條件WAIT語句
5.6 空操作語句
5.7 報告語句
5.8 斷言語句
5.9 課後習題
5.9.1 填空題
5.9.2 簡答題
5.9.3 實驗題

第6章 VHDL語言的並行描述語句
第7章 使用VHDL語言設計組閤邏輯電路
第8章 使用VHDL語言設計時序電路
第9章 使用VHDL語言設計存儲器
第10章 使用VHDL語言設計狀態機
第11章 VHDL語言的層次化設計
第12章 VHDL語言的仿真、綜閤和優化
第13章 VHDL語言的屬性描述語句
第14章 VHDL語言的數字係統設計應用實例

前言/序言

行業背景
電子設計自動化(Electronic Design Automation,EDA)技術是指包括電路係統設計、係統仿真、設計綜閤、PCB版圖設計和製版的一整套自動化流程。隨著計算機、集成電路和電子設計技術的高速發展,EDA技術已經滲透百姓生活的各個角落,日益成為電子信息類産品的支柱産業;而硬件描述語言則是集成電路設計的核心技術,在常用的硬件描述語言中,VHDL因其功能強大、嚴謹等特點占據瞭絕大部分市場。
關於本書
本書介紹瞭EDA技術和硬件描述語言VHDL的基礎知識,通過對工程實例的係統分析、程序設計和仿真,深入細緻地講述瞭其在數字係統設計中的廣泛應用。
本書首先介紹瞭EDA和VHDL語言基礎知識,然後介紹瞭最常用的VHDL語言集成開發環境Quartus II,接著基於Quartus II介紹瞭VHDL語言的語法知識,包括VHDL程序結構(如實體、結構體、塊語句、庫、程序包等)、VHDL語言要素(如文字規則、數據變量、數據類型、操作符等)、VHDL順序語句和並行語句(如賦值語句、流程控製語句、子程序調用語句、進程語句、並行信號賦值語句等),還瞭基本電路(如組閤邏輯裏的加法器、譯碼器等,時序邏輯裏的觸發器、寄存器等,以及Mealy和Moore型狀態機)的VHDL實現方法,最後介紹瞭VHDL語言的綜閤、優化和層次設計,並且給齣瞭幾個綜閤應用實例。
本書各個章節說明如下:
● 第1章主要介紹EDA技術和VHDL語言的基礎知識,詳細介紹瞭使用VHDL語言進行EDA設計的流程。
● 第2章主要介紹瞭VHDL語言的集成開發環境Quartus II的使用方法。
● 第3章主要介紹瞭VHDL語言程序的結構,包括描述風格、結構體、實體等。
● 第4章主要介紹瞭VHDL語言的基本要素,包括標識符的命名規範、數據對象的使用和數據類型等。
● 第5章主要介紹瞭VHDL語言的順序描述語句,包括賦值語句、代入語句、流程控製語句、WAIT語句等。
● 第6章主要介紹瞭VHDL語言的並行描述語句,包括並發信號代入語句、進程語句、過程語句等。
● 第7章主要介紹瞭如何使用VHDL語言設計組閤邏輯電路,包括基礎門電路、編碼器、譯碼器、數據選擇器等。
● 第8章主要介紹瞭如何使用VHDL語言設計時序電路,包括觸發器、寄存器、計數器等。
● 第9章主要介紹瞭如何使用VHDL語言設計存儲器,包括ROM、RAM、FIFO等。
● 第10章主要介紹瞭如何使用VHDL語言設計狀態機,包括米勒型狀態機、莫爾型狀態機以及狀態機的實際應用。
● 第11章主要介紹瞭VHDL語言的層次化設計方法,包括庫、程序包的使用等。
● 第12章主要介紹瞭如何對VHDL語言的代碼進行仿真、綜閤和優化。
● 第13章主要介紹瞭VHDL語言的屬性描述語句,包括數值類屬性描述語句、函數類屬性描述語句、信號類屬性描述語句等。
● 第14章給齣瞭四個VHDL語言的綜閤應用實例,包括交通燈控製器、齣租車計費器、自動售貨機和通用異步發送器。
本書特色
本書實例典型,內容豐富,有很強的針對性,不僅詳細介紹瞭VHDL語言的基本用法,作為高層次綜閤語言的眾多特點,還介紹瞭數字電路中最常見的組閤邏輯電路、時序電路設計、存儲器設計和狀態機設計方法,並且給齣瞭多個典型代錶實例。
作者介紹
本書由王韆文組織編寫。其中,王韆文主編第1~7章,程雅青主編第8、9章,王永皎主編第10~12章,馬建江主編第13、14章,此外,參與本書編寫的還有陳小亮、張國棟、張國華、李華、王林、李誌國、陳晨、馮慧、徐紅、吳文林、周建國、張建、劉海濤、張琴、高梅、吳曉、硃維、陳浩、汪梅、姚琳、何武和許小榮等同誌,在此,編者對他們錶示衷心的感謝。
由於時間倉促、程序和圖錶較多,受學識水平所限,書中不足之處在所難免,請廣大讀者給予批評指正。

編 者
2014年5月




























EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材 下載 mobi epub pdf txt 電子書

EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材 pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材 pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


EDA技術與VHDL程序開發教程/高等學校計算機應用規劃教材 bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有