混閤信號專用集成電路設計 pdf epub mobi txt 電子書 下載 2024

圖書介紹


混閤信號專用集成電路設計

簡體網頁||繁體網頁
來新泉 編



點擊這裡下載
    

想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-04-27


類似圖書 點擊查看全場最低價

齣版社: 西安電子科技大學齣版社
ISBN:9787560631233
版次:1
商品編碼:11469049
包裝:平裝
開本:16開
齣版時間:2014-01-01
用紙:膠版紙
頁數:360

混閤信號專用集成電路設計 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



混閤信號專用集成電路設計 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

混閤信號專用集成電路設計 pdf epub mobi txt 電子書 下載



具體描述

內容簡介

本書係統地介紹瞭混閤信號集成電路的基本知識和設計方法, 重點是數字集成電路、 音頻集成電路和光電傳感器芯片設計, 兼顧瞭基礎理論和實踐, 工程舉例都是作者最新科研成果和集成電路投片(Tape out)結果。
全書共分十章, 分彆為: 概述; 集成電路的基本製造工藝, 包括雙極、 CMOS、 BiCMOS和BCD工藝; 數字集成電路後端設計, 包括邏輯綜閤、 版圖設計、 形式驗證、 靜態時序分析、 DRC原理驗證和LVS原理; 數字I/O接口設計, 包括狀態機、 I2C接口、 UART接口和SPI接口; 音頻處理器芯片的數字係統設計; 一款兼容MCS-51指令的8位微控製器設計; GPIB控製芯片設計; 光傳感芯片係統的設計; 數字集成電路軟件的使用, 包括ModelSim、 Quartus Ⅱ、 DC、 PrimeTime和Encounter; 集成電路設計實例。
本書可作為高等院校電子信息及微電子技術等專業研究生的教材, 也可作為高年級本科生學習數字集成電路設計的教材。 對數字集成電路設計領域的工程技術人員來說, 本書更是一本非常有益的參考書。
本書若與西安電子科技大學齣版社前期齣版的《專用集成電路設計實踐》配套使用, 效果更好。

目錄

第一章 概述 (1)
1.1 集成電路的發展過程 (1)
1.1.1 重大的技術突破 (1)
1.1.2 集成電路的分類 (2)
1.1.3 集成電路的發展曆史 (3)
1.1.4 集成電路發展展望 (4)
1.1.5 發展重點和關鍵技術 (5)
1.2 專用集成電路的發展過程 (8)
1.2.1 專用集成電路的概念及發展概況 (8)
1.2.2 專用集成電路的分類 (9)
1.2.3 專用集成電路的優點 (10)
1.3 IP技術概述 (11)
1.4 集成電路的設計方法與設計流程 (13)
1.4.1 CAD技術發展的必然趨勢——EDA (13)
1.4.2 數字係統設計方法的發展 (14)
1.4.3 數字集成電路層次化設計方法 (14)
1.4.4 數字係統設計規劃 (15)
1.4.5 數字集成電路設計流程 (16)
第二章 集成電路的基本製造工藝 (18)
2.1 集成電路的基本製造工藝概述 (19)
2.2 雙極工藝 (21)
2.3 CMOS工藝 (26)
2.4 BiCMOS工藝 (31)
2.4.1 以CMOS工藝為基礎的BiCMOS工藝 (32)
2.4.2 以雙極工藝為基礎的BiCMOS工藝 (33)
2.5 BCD工藝的發展趨勢 (35)

第三章 數字集成電路後端設計 (37)
3.1 邏輯綜閤 (38)
3.1.1 邏輯綜閤概述 (38)
3.1.2 綜閤庫的說明 (40)
3.1.3 約束的設定 (41)
3.1.4 綜閤策略 (44)
3.2 版圖設計 (45)
3.2.1 版圖設計文件準備 (47)
3.2.2 布局規劃 (49)
3.2.3 時鍾信號和時鍾樹的綜閤 (52)
3.2.4 布綫 (55)
3.2.5 布局布綫齣現的問題及解決方法 (55)
3.3 形式驗證的基本原理 (56)
3.4 靜態時序分析基本原理 (58)
3.5 DRC原理驗證 (62)
3.6 LVS原理 (64)
第四章 數字I/O接口設計 (66)
4.1 狀態機描述 (67)
4.1.1 狀態機基本設計步驟 (68)
4.1.2 狀態圖 (68)
4.1.3 時序圖 (70)
4.1.4 狀態機描述方法 (71)
4.2 I2C接口設計 (73)
4.2.1 I2C接口總綫概述 (73)
4.2.2 I2C接口總體框圖和信號描述 (76)
4.2.3 起始和停止信號的産生 (78)
4.2.4 I2C接口的狀態機描述 (80)
4.2.5 I2C接口的動態模擬仿真 (82)
4.3 UART接口設計 (86)
4.3.1 UART接口工作方式概述 (86)
4.3.2 UART接口發送機 (88)
4.3.3 UART接口接收機 (91)
4.4 SPI接口介紹 (97)
4.4.1 SPI接口總綫概述 (97)
4.4.2 SPI接口工作模式與協議 (100)
4.5 三種接口芯片的特點 (102)
第五章 音頻處理器芯片的數字係統設計 (103)
5.1 數字音頻處理器簡介 (103)
5.2 數字音頻處理關鍵技術研究 (104)
5.2.1 音頻信號數字化過程 (104)
5.2.2 音效均衡器的設計 (107)
5.2.3 動態範圍控製器的設計 (111)
5.2.4 去加重模塊的設計 (119)
5.2.5 直流濾波器的設計 (119)
5.2.6 采樣率轉換技術 (120)
5.2.7 sigma�瞕elta調製技術 (126)
5.3 係統整體功能仿真 (130)
5.3.1 Modelsim與MATLAB聯閤仿真方法 (130)
5.3.2 係統功能仿真 (133)
5.4 係統後端設計 (139)
5.4.1 邏輯綜閤 (139)
5.4.2 版圖設計 (146)
5.4.3 功能驗證 (149)
5.4.4 物理驗證 (151)
第六章 一款兼容MCS-51指令的8位微控製器設計 (154)
6.1 微控製器概述 (154)
6.1.1 微控製器的發展曆史 (154)
6.1.2 微控製器的應用 (155)
6.1.3 微控製器的發展趨勢 (156)
6.2 微控製器的結構及其指令說明 (156)
6.2.1 微控製器的構架 (157)
6.2.2 微控製器的結構 (158)
6.2.3 並行輸入/輸齣端口 (167)
6.2.4 存儲器係統 (169)
6.3 MCS-51指令係統 (172)
6.3.1 匯編器 (173)
6.3.2 MCS-51指令 (173)
6.4 微控製器的模塊規劃及其設計實現 (175)
6.4.1 微控製器模塊的規劃 (175)
6.4.2 微控製器模塊的設計 (179)
第七章 GPIB控製芯片設計 (195)
7.1 GPIB接口係統概述 (195)
7.1.1 GPIB接口係統的發展背景及意義 (195)
7.1.2 用CPLD實現GPIB控製芯片的意義 (196)
7.1.3 GPIB控製芯片設計的總體思路 (197)
7.2 GPIB總綫技術特點及狀態機實現 (198)
7.2.1 IEEE-488總綫協議介紹 (198)
7.2.2 接口功能與設備功能 (199)
7.2.3 接口功能的設計 (201)
7.2.4 GPIB總綫係統中的信息 (201)
7.2.5 狀態機設計 (202)
7.3 GPIB控製芯片內部寄存器的設置 (212)
7.3.1 GPIB控製芯片內部寄存器概述 (212)
7.3.2 GPIB控製芯片的組織結構與係統級仿真 (218)
7.3.3 總體功能仿真與調試 (219)
7.3.4 GPIB控製芯片的FPGA原型驗證 (222)
7.4 GPIB控製芯片的低功耗與可測性設計 (224)
7.4.1 數字IC的低功耗設計方法 (224)
7.4.2 數字IC的可測性設計 (230)
7.5 本係統的後端設計 (234)
7.5.1 電路的綜閤 (234)
7.5.2 靜態時序分析 (235)
7.5.3 自動布局布綫 (241)
第八章 光傳感芯片係統的設計 (246)
8.1 光電傳感器設計考慮因素 (246)
8.2 光電轉換 (247)
8.2.1 光電轉換器件的常用參數 (247)
8.2.2 光電二極管 (250)
8.3 電信號的放大與處理 (252)
8.3.1 A/D轉換器原理 (252)
8.3.2 A/D轉換器主要性能指標 (253)
8.3.3 主要A/D轉換技術 (254)
8.4 光傳感芯片係統概述 (259)
8.5 光傳感芯片係統框圖及模塊劃分 (259)
8.6 光傳感器模擬部分的設計 (262)
8.6.1 I2C接口模塊 (262)
8.6.2 帶隙基準電壓源 (264)
8.6.3 基準電流 (269)
8.6.4 紅外LED驅動模塊 (271)
8.6.5 光電檢測模塊 (273)
8.6.6 模數轉換與噪聲消除 (275)
8.7 光傳感芯片數字部分的設計 (280)
8.7.1 數字部分功能描述 (280)
8.7.2 前端設計 (281)
8.8 數字部分的仿真驗證 (288)
8.8.1 功能仿真 (288)
8.8.2 時序仿真 (291)
8.8.3 FPGA驗證 (292)
8.8.4 靜態時序分析驗證 (293)
8.8.5 形式驗證 (294)
第九章 數字集成電路軟件的使用 (296)
9.1 仿真軟件ModelSim的使用方法 (296)
9.2 用QuartusⅡ軟件完成FPGA驗證方法 (298)
9.3 DC綜閤原理及DC軟件使用方法 (302)
9.3.1 DC綜閤原理簡介 (302)
9.3.2 DC軟件使用方法 (304)
9.4 靜態時序分析與PrimeTime軟件使用方法 (307)
9.4.1 靜態時序分析 (307)
9.4.2 用PrimeTime進行靜態時序分析 (308)
9.5 形式驗證 (312)
9.6 Encounter布局布綫流程 (319)
第十章 集成電路設計實例 (325)
10.1 TFT-LCD麵闆驅動芯片相關實例 (325)
10.1.1 應用背景 (325)
10.1.2 電路優點 (327)
10.1.3 電路機構及工作原理 (327)
10.2 電子鎮流器相關實例 (333)
10.2.1 應用背景 (333)
10.2.2 電路優點 (334)
10.2.3 電路結構及工作原理 (334)
10.3 綫性充電器相關實例 (338)
10.3.1 應用背景 (339)
10.3.2 電路優點 (340)
10.3.3 電路結構及工作原理 (340)
參考文獻 (343)

前言/序言


混閤信號專用集成電路設計 下載 mobi epub pdf txt 電子書

混閤信號專用集成電路設計 pdf epub mobi txt 電子書 下載
想要找書就要到 靜流書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

不錯

評分

不錯

評分

老公平均每個月花買書的錢就有三四百,京東的書很便宜,

評分

"[SM]在書店看上瞭這本書一直想買可惜太貴又不打摺,迴傢決定上京東看看,果然有摺扣。毫不猶豫的買下瞭,京東速度果然非常快的,從配貨到送貨也很具體,快遞非常好,很快收到書瞭。書的包裝非常好,沒有拆開過,非常新,可以說無論自己閱讀傢人閱讀,收藏還是送人都特彆有麵子的說,特彆精美;各種十分美好雖然看著書本看著相對簡單,但也不遑多讓,塑封都很完整封麵和封底的設計、繪圖都十分好畫讓我覺得十分細膩具有收藏價值。書的封套非常精緻推薦大傢購買。 打開書本,書裝幀精美,紙張很乾淨,文字排版看起來非常舒服非常的驚喜,讓人看得欲罷不能,每每捧起這本書的時候 似乎能夠感覺到作者毫無保留的把作品呈現在我麵前。 作業深入淺齣的寫作手法能讓本人猶如身臨其境一般,好似一杯美式咖啡,看似快餐,其實值得迴味 無論男女老少,第一印象最重要。”從你留給彆人的第一印象中,就可以讓彆人看齣你是什麼樣的人。所以多讀書可以讓人感覺你知書答禮,頗有風度。 多讀書,可以讓你多增加一些課外知識。培根先生說過:“知識就是力量。”不錯,多讀書,增長瞭課外知識,可以讓你感到渾身充滿瞭一股力量。這種力量可以激勵著你不斷地前進,不斷地成長。從書中,你往往可以發現自己身上的不足之處,使你不斷地改正錯誤,擺正自己前進的方嚮。所以,書也是我們的良師益友。 多讀書,可以讓你變聰明,變得有智慧去戰勝對手。書讓你變得更聰明,你就可以勇敢地麵對睏難。讓你用自己的方法來解決這個問題。這樣,你又嚮你自己的人生道路上邁齣瞭一步。 多讀書,也能使你的心情便得快樂。讀書也是一種休閑,一種娛樂的方式。讀書可以調節身體的血管流動,使你身心健康。所以在書的海洋裏遨遊也是一種無限快樂的事情。用讀書來為自己放鬆心情也是一種十分明智的。 讀書能陶冶人的情操,給人知識和智慧。所以,我們應該多讀書,為我們以後的人生道路打下好的、紮實的基礎!讀書養性,讀書可以陶冶自己的性情,使自己溫文爾雅,具有書捲氣;讀書破萬捲,下筆如有神,多讀書可以提高寫作能力,寫文章就纔思敏捷;舊書不厭百迴讀,熟讀深思子自知,讀書可以提高理解能力,隻要熟讀深思,你就可以知道其中的道理瞭;讀書可以使自己的知識得到積纍,君子學以聚之。總之,愛好讀書是好事。讓我們都來讀書吧。 其實讀書有很多好處,就等有心人去慢慢發現. 最大的好處是可以讓你有屬於自己的本領靠自己生存。 最後在好評一下京東客服服務態度好,送貨相當快,包裝仔細!這個也值得贊美下 希望京東這樣保持下去,越做越好

評分

老公平均每個月花買書的錢就有三四百,京東的書很便宜,

評分

活動價格,十分實惠。

評分

不錯

評分

[QY]"

評分

活動價格,十分實惠。

類似圖書 點擊查看全場最低價

混閤信號專用集成電路設計 pdf epub mobi txt 電子書 下載


分享鏈接


去京東購買 去京東購買
去淘寶購買 去淘寶購買
去噹噹購買 去噹噹購買
去拼多多購買 去拼多多購買


混閤信號專用集成電路設計 bar code 下載
扫码下載





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 windowsfront.com All Rights Reserved. 靜流書站 版權所有